AVS2011 Session PS-WeA: Plasma Sources

Wednesday, November 2, 2011 2:00 PM in Room 201

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule

Start Invited? Item
2:00 PM PS-WeA-1 Integrated Power Delivery Systems for Next Generation Plasma Processes
Fernando Tomasel, Masahiro Watanabe, Dan Carter (Advanced Energy Industries)
The continued drive for ever shrinking features in semiconductor manufacturing poses significant challenges for tool manufacturers and process developers alike. Requirements such as higher uniformity, tighter control of critical dimensions, reduced plasma damage, thinner layers, and shorter process times, combined with the introduction of new materials demand higher sophistication in the development of semiconductor processing tools, starting at the plasma chambers and going all the way down to the power delivery systems. As an example, major advances in etch processes have been enabled by the introduction of a recent generation of RF power supplies with advanced capabilities, including frequency tuning while pulsing and multi-generator synchronized pulsing [1]. While significant improvement to a variety of plasma processes has been realized through the use of these high performance generators, a more holistic analysis of current power delivery systems seems to indicate that the characteristics and quality of the power delivered to the plasma load could be partly limited by power delivery system architectures based on autonomous building blocks. This observation suggests that a higher level of integration in communication, measurement and control among the components of the power delivery system could further benefit the development of next generation processes and tools. In this presentation we will show results from a new generation of power delivery systems where the matching networks and generators are fully integrated from a communication, measurement and control point of view. Although certainly possible, this integration scheme does not necessarily imply full physical integration. The performance of the integrated hardware combined with high performance control algorithms on both fixed and dynamic loads will be discussed in terms of electrical measurements performed at the loads under various operating regimes, including match and frequency tuning while pulsing. The results will demonstrate the potential for these integrated systems to enable processes with stringent requirements such as highly accurate power regulation in a wide dynamic range, faster power stabilization during transients, and minimal reflected power, both in pulsing and CW modes.
 
[1] C. Petit-Etienne, M. Darnon, L. Vallier, E. Pargon, G. Cunge, F. Boulard, O. Joubert, S. Banna, and T. Lill, J. Vac. Sci. Technol. B 28(5), 926 (2010).
2:20 PM PS-WeA-2 Remote VHF Source for High Efficiency Plasma Generation
Dan Carter, Daniel Hoffman, Randy Grilley, Karen Peterson (Advanced Energy Industries)
Remote plasma sources have evolved through the years and have generally been designed around traditional MF/HF frequencies in the 0.5 to 13 MHz range or at microwave frequencies around 2 GHz. Remote plasma sources can offer benefits including high dissociation rates, segregation of ionic and neutral species and reduced damage compared to in-situ generated plasmas. Due to the complexities of operating a microwave discharge or the difficulties achieving and maintaining H-mode ICP coupling, these devices are commonly designed for specific applications, such as chamber cleaning, organic layer ashing or PFC destruction in process effluent. As a result, many existing remote source technologies suffer from operating range and/or scaling constraints and so utilization in processes outside their specified purpose is often restricted.

This paper describes a new remote source technology employing a high efficiency VHF electrostatic coupling method to produce a versatile and robust remote plasma generator. The design approach is shown capable of producing high density discharges across a broad range while operating at relatively low voltages. The concept is scalable and adaptable to most any chemistry used for cleaning, etching and even deposition. This paper describes some of the important design elements incorporated into these new source devices along with early results illustrating a broad performance range exceeding many capabilities of the alternative technologies.

2:40 PM PS-WeA-3 Study of Radio Frequency Breakdown Mechanisms in a Plasma Environment
John Caughman, Rick Goulding, David Rasmussen (Oak Ridge National Laboratory); Carlos Castano Giraldo, Maro Aghazarian (University of Illinois at Urbana Champaign); Elijah Martin, Steve Shannon (North Carolina State University)
Radio frequency (RF) breakdown/arcing is a major power-limiting factor in antenna systems used for RF heating and current drive in magnetic fusion experiments and is also an issue for high voltage substrate operation in process plasmas. The factors that contribute to breakdown include gas pressure, gas type, magnetic field, materials, ultraviolet light, and local plasma density. The effects of these factors on RF breakdown are being studied in a resonant 1/4-wavelength section of vacuum transmission line terminated with an open circuit electrode structure with a well-defined electric field. A small plasma source is used to inject plasma into the high-field region of the electrodes. Changes in the electrical parameters, such as input impedance and the voltage at the electrodes, are being monitored to detect the breakdown events. Measurements of the light emission prior to and during an arc are also being made. For high vacuum conditions using copper electrodes, bright spots (unipolar arcs) appear on the electrode surfaces prior to a breakdown event. The voltage-current characteristic in this regime is consistent with Fowler-Nordheim field emission. An increase in the RF field results in an arc and a flash of light corresponding to copper line emission. Analysis of the electrode surfaces show large areas of melting and formation of micron-sized rounded protrusions, especially along the sharp edges of small scratches or at impurity inclusions on the surface. The maximum electric field that can be sustained without breakdown is on the order of 30-40 kV/mm for vacuum conditions, but this value is substantially reduced in the presence of plasma and magnetic field. An increase in the chamber pressure results in a decrease in the maximum RF electric field that can be sustained without breakdown as the pressure approaches a few mTorr. The breakdown event leads to formation of a plasma in the structure, and the addition of an external magnetic field causes the formation of a plasma at lower pressures. Ultra-violet light, with an energy greater that the work function of the electrode material, has been shown to induce electron emission from the surface and initiate multipactor discharges. In addition, we are using optical emission spectroscopy to determine the magnitude of the DC and RF electric fields near the electrode structure by utilizing the dynamic Stark effect. Experimental details and future research directions will be presented.
3:20 PM BREAK
4:00 PM PS-WeA-7 A Narrow Ion Energy Distribution Bias System
Victor Brouk (Advanced Energy Industries); Steve Shannon (North Carolina State University); Daniel Hoffman, Dan Carter, William Hattel (Advanced Energy Industries)

Conventional bias systems use sine wave voltage systems to achieve ion energy distribution functions for the creation of thin films. By combining dual frequency sine waves, the mean energy and its spread can be independently controlled1. Arbitrary wave-shaping has been suggested to create single energy near-delta function distributions2. We investigate a system where the waveform is defined a priori where two elements are run in a feed forward system to control the instantaneous IEDF. We evaluate the effectiveness of this system in an argon/oxygen plasma at typical operating pressures inside the 10-150 mT range at plasma densities in the low 1010 cm-3 range.

1 S. Shannon et al.; J. Appl. Phys. 97, 103304 (2005)

2 Wendt A. et. al., “Method and apparatus for plasma processing with control of ion energy distribution at the substrates”, US Patent 6201208, March 13, 2001

4:20 PM PS-WeA-8 Effect of Multi-frequency Bias on Ion Energy Distribution in Inductively Coupled Plasma
Ankur Agarwal, Ajit Balakrishna, Shahid Rauf, Kenneth Collins (Applied Materials, Inc.)

Inductively coupled plasma (ICP) sources, commonly used for semiconductor and conductor etching, embody the concept of functional separation between plasma production and ion energy control, wherein the inductive coupling through the coils is only responsible for the plasma generation while the bias determines the ion energies. Plasma etching of microelectronics structures at advanced technological nodes (< 3x nm), especially complicated structures such as multi-gate MOSFETs and 3D memory stacks, are placing great emphasis on control of ion energy distributions (IEDs) to finely discriminate etching thresholds.[1] Sinusoidal biases typically provide broad IEDs, making such control difficult to achieve. One promising alternative is non-sinusoidal bias waveforms, which have been demonstrated to provide such control.[2] However, there are issues associated with passing non-sinusoidal signals through the finite impedance of the match, transmission line, and the substrate.

Multi-frequency bias is compatible with current manufacturing hardware, and has been successfully used for controlling IEDs in capacitively coupled plasmas.[3] This approach may be utilized to enable IED control in ICP etchers as well. We investigate the impact of multiple bias frequencies in this paper. A high frequency applied in addition to a relatively low frequency bias causes the sheath potential to vary in a complicated manner due to the non-linear nature of the sheath. As a result, IED exhibits a complex dependence on relative bias voltages and frequencies.

In this work, the effect of applying bias at multiple frequencies will be discussed using results from a computational investigation. The 2-dimensional plasma equipment model, HPEM[4], has been modified to enable power deposition at multiple frequencies on the same electrode. Results will be discussed for Ar/Cl2 plasma utilizing a 13.56 MHz bias in addition to a bias at a different frequency in an ICP chamber. The additional frequency is varied over a wide range and its consequences assessed on the ion and radical flux and IEDs incident on the wafer. We found that, in addition to modulating the IEDs, the flux composition is different depending on the frequency due to the secondary plasma generation by the alternate frequency.

[1] A. Nitayama and H. Aochi, ECS Trans. 18, 89 (2009).

[2] A. Agarwal and M.J. Kushner, J. Vac. Sci. Technol A 27, 37 (2009).

[3] S. Shannon, D. Hoffman, J.-G. Yang, A. Paterson, and J. Holland, J. Appl. Phys. 97, 103304 (2005).

[4] M.J. Kushner, J. Phys. D: Appl. Phys. 42, 194013 (2009).
4:40 PM PS-WeA-9 Electron Energy Distribution at Electrode in a Low Pressure Capacitively Coupled Plasma
Shahid Rauf, Leonid Dorf, Ankur Agarwal, Kenneth Collins (Applied Materials, Inc.)

Low pressure (sub-20 mTorr) capacitively coupled plasmas (CCP) are playing an increasingly important role in technological applications. As the mean free path becomes commensurate with the discharge dimensions, the fluid assumptions inherent in plasma and sheath models start to break down and ought to be reexamined. We focus on one aspect of the CCP operation in this paper, namely the electron energy distribution (EED) at electrodes and surfaces, and use kinetic particle-in-cell (PIC) models to understand the temporal behavior of the EED. Kinetic results are compared to fluid representation of the EED at electrodes to identify deficiencies in the fluid model at low pressures and propose solutions.

The sheath at the plasma-surface interface ensures that the electrons remain confined in the bulk plasma. However, during certain phases of the radio-frequency (RF) cycle in a CCP, the sheath collapses and the electrons exit at the surface. Energy distribution of these electrons contains useful information about the bulk plasma and the sheath. One can probe into the energy characteristics of these electrons using dc probes embedded in the electrode. Analysis of the resulting probe data can be used to determine the electron temperature, the electron density, and the EED in the bulk plasma. If a fluid model is used for this analysis, the electrons are assumed to be governed by the Boltzmann relation where their density and flux depend exponentially on the sheath voltage. Electrons are however highly non-equilibrium near the sheaths in CCPs and the Maxwellian distribution assumption (implicit in the Boltzmann relation) is questionable. Furthermore, most probe analysis models are dc-based. Low pressure situations demand further scrutiny as even the bulk plasma EED tends to become non-Maxwellian.

1 and 2-dimensional PIC model of CCPs are used for this investigation. These models consider plasma chemistry using the Monte Carlo technique. Simulations are done for Ar and N2 plasmas under a variety of conditions (13.56 – 60 MHz RF frequency, RF voltage of 100 – 500 V, 5 – 100 mTorr gas pressure). The 1-dimensional PIC model is used to examine the EED at the electrodes where the sheath undergoes substantial variation during the RF cycle. The 2-dimensional model is used to investigate the EED at small metal surfaces (e.g., a probe) away from the primary electrodes. Dc voltage is also applied to the probe electrode in the 2-dimensional simulations. It is found that, in addition to a non-Maxwellian contribution from electrons adjacent to the sheath, the EED also contains high energy electrons which are the remnant of electrons that were accelerated at the opposite sheath.

5:00 PM PS-WeA-10 The Control of Electron Shading and Plasma EEDf in a DC/RF Parallel-Plate Etcher
Lee Chen (Tokyo Electron America)

There are several principles in the consideration of plasma etcher design. This paper addresses two important areas: (1) the ability of tailoring the electron energy distribution function (EEDf), (2) the ability of adjusting the charging and neutralization of surface features (the electron shading effect). Stochastic heating by high frequency RF (VHF) energizes the Maxwellian bulk into the energetic tail population for efficient ionization. Such energetically bottom-up heating also indiscriminately populates the below-ionization energetic group that drives chemistry such as molecular dissociation and VUV production. A generic DC/RF system has a RF biased wafer-electrode with a high-negative DC superimposed opposing electrode. The DC/RF system dominates its electron heating with an energetically top-down process. The secondary electrons emitted from the high-negative DC surface disseminate the beam-energy into a distribution of energetic-electrons through collisions and more importantly, various beam-wave instabilities. These energetic electrons are trapped between the sheaths of the two parallel plates when the RF sheath field is sufficiently strong, dissipating their energies mainly into ionization. The energetic part of the EEDf reveals (in descending order) a group of ballistic-electron associated with the applied –DC voltage, an energy continuum, and finally stop at a lower middle-energy peak in the range of ~ 40eV to 300eV depending on the process. The data show extremely efficient ionization by these energetic electrons and as a result, the Maxwellian bulk remains relatively unchanged at Te~1.8eV regardless the bias RF power and process pressure. Such energetically decoupled EEDf enables increased ionization without increasing molecular dissociation. In one RF period, the trapping of these energetic electrons diminishes as the RF sheath collapses. By pulse-synchronizing the –DC voltage and the bias RF voltage, ion-bombardment excitation of the wafer surface can alternate with high-energy electron-bombardment neutralization of the wafer surface. Ion-bombardment of the wafer surface occurs when the bias RF pulse is high and the –DC pulse is at a medium level. As the RF and the DC pulses are synchronously altered to a low RF voltage and a high-negative DC voltage, ion-bombardment excitation of the wafer diminishes and the wafer surface sees an increased current of energetic (>25eV) electrons. High aspect ratio SEM data show that the signatures of electron shading (e.g., bending and twisting of the features) are eliminated when synchronous DC/RF pulsing is implemented allowing energetic electrons to reach the bottom of high aspect ratio features.

5:40 PM PS-WeA-12 Negative Plasma Potentials Produced by Electropositive Plasmas in a Multi-Dipole Chamber
Noah Hershkowitz (University of Wisconsin-Madison); Lutfi Oksuz (Suleyman Demirel University, Turkey); J.P. Sheehan (University of Wisconsin-Madison)

Negative plasma potentials were obtained in DC hot filament unmagnetized electropositive argon plasma in two configurations. For sufficiently low plasma density (<106 cm-3) bounded by conducting walls, double layers provide ion and electron confinement near the walls. Similar results were observed in higher density plasmas (~109 cm-3) when a thin dielectric coating of oil covered the surface of the conducting walls. The potential profiles, measured using emissive probes in the limit of zero emission, from the center of the plasma to the potential minima are quite similar in shape to those observed when the plasma has positive plasma potentials. The primary electrons emitted from the filaments are important for charge conservation and for modification of the Bohm criteria but are not important for current balance.

This work was supported by US Department of Energy grants No. DE-AC02-09CH11466 and No. DE-FG02-97ER54437, and the Fusion Energy Sciences Fellowship Program administered by Oak Ridge Institute for Science and Education under a contract between the U.S. Department of Energy and the Oak Ridge Associated Universities.

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule