AVS2011 Session PS+SE-MoA: Advanced FEOL / Gate Etching II

Monday, October 31, 2011 2:00 PM in Room 201

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule

Start Invited? Item
2:00 PM PS+SE-MoA-1 Mechanical Analysis of the Line Edge Roughness in the sub-20nm Line Patterns
SangWuk Park, KyeHyun Baek (Samsung Electronics Co., Ltd, Republic of Korea); SangHyuk Choi, JunSik Hong (Lam Research Corporation); KyungSub Shin, YuGyun Shin, HoGyu Kang (Samsung Electronics Co., Ltd, Republic of Korea)
In this study, the deterioration of line edge roughness during plasma etching process was analyzed to find possible control parameters. According to the aggressive design rule shrinkage of memory devices, the physical width of line patterns has become around 20nm. Controlling line edge and width roughness now became one of the biggest challenges in patterning process. Though a number of studies on this matter have done, the wiggling of line patterns is still making the migration slower. It is well know truth that the line edge and width roughness are mainly caused by the projection of poor photoresist patterns. However, the recent line patterns of around 20nm design rules experience severe wiggling added from the plasma etching process. This study focused on this etch-induced deformation that increased line edge roughness from the prior status. The final line edge roughness was assumed as the sum of the wiggling projected from that of mask pattern and the deformation during plasma process. The deformation part was explained with the equations of the mechanical beam theory assuming several stress sources originated from the plasma. This newly introduced approach could suggest the direction of process modification for more robust profile against deformation. Moreover, combining with the material properties of commonly used materials, this approach could estimate the extent of lateral deformation so that the ultimate size of line patterns could be expected in the aspect of line edge roughness.
2:20 PM PS+SE-MoA-2 Dependence of ArF Photoresist Polymer Structure on Line-Edge-Roughness Formation during Plasma Etching Processes
Takuji Uesugi, Akira Wada (Tohoku University, Japan); Shinichi Maeda, Keisuke Kato, Atsushi Yasuda, Satoshi Sakuma (Mitsubishi Rayon, Japan); Seiji Samukawa (Tohoku University, Japan)

ArF excimer laser (193nm) lithography technique is widely used in the fabrication of sub-50-nm devices. During plasma etching processes, however, the activated species radiated from plasma, such as ions, radicals, and photons, cause damages to ArF photoresist, resulting in low etching resistance and formation of line-edge roughness (LER). To solve these issues, we investigated the interaction between irradiated species from plasma and polymer structure of ArF photoresist. In our previous study, we found that improvement of stability of lactone group in side chain of ArF photoresist realized decrease in etching rate and reduction of the surface roughness of ArF photoresist.

In this study, to further improve the etching resistance and the surface roughness of ArF photoresist, we proposed a new polymer structure of ArF photoresist. Our newly developed ArF photoresist structure has acrylate group in main chain polymer structure, while usual ArF photoresist has methacrylate group in main chain polymer structure. We prepared silicon wafers coated by ArF photoresists with methacrylate group and acrylate group and etched them using chlorine plasma. As a result, these two types of photoresists had almost same etching rates. This result suggests that main chain structure of ArF photoresist does not affect its etching rate. On the other hands, the surface roughness of acrylate type photoresist after etching was drastically reduced in comparison with that of methacrylate type photoresist. It is considered to be due to stronger bonding energy of acrylate group than methacrylate group. From this result, it is concluded that the acrylate type ArF photoresist structure is very effective to suppress the roughness formation in ArF photoresist.

2:40 PM PS+SE-MoA-3 193nm Photoresist Pre-Treatments Before Plasma Transfer to Improve LWR Transfer and CD Control
Erwine Pargon (CNRS-LTM, France); Laurent Azarnouche (ST Microelectronics, France); Marc Fouchier, Kevin Menguelti, Olivier Joubert (CNRS-LTM, France)

Linewidth roughness (LWR) is today one of the main parameters that limits our ability to shrink the transistor gate dimension down to 20nm. Indeed, LWR needs to be controlled down to 2nm to ensure good electrical performance of the future CMOS device, while state of the art patterning techniques only allows 4-3 nm gate LWR at best. The major issue in decreasing the gate LWR comes from the fact that the significant LWR of the resist pattern printed after 193nm lithography (about 6nm measured by CDAFM) is transferred into the gate stack materials during the subsequent plasma etching processes. One way to minimize the final gate LWR is to apply various pre-treatments to the resist patterns obtained right after lithography (before any plasma pattern transfer step).

In the present study, we have used CD-SEM and CD-AFM techniques to investigate the impact of different types of resist pre-treatments (combining plasma exposure (HBr, Ar, H2 plasmas), vacuum ultra violet (VUV) light exposure, and annealing) on the photoresist LWR and profile. Many characterization techniques (FTIR and Raman spectrometries, ellipsometry, chromatography, DMA, TGA) have also been used to characterize the physico-chemical modifications of photoresist films responsible for the resist smoothening. We have also investigated the benefits of those resist pre-treatments on both LWR and CD control after pattern transfer in different stacks of materials.

We will show that all treatments generate resist chemical modifications that lead to a decrease in resist LWR while the etch resistance is not always improved. All treatments have in common the cleavage of the side groups (lactone group for plasma treatment and protecting group for annealing treatment) and a decrease of the glass transition temperature that seems to have a direct impact on the LWR decrease. But some other mechanisms compete according to the treatment used and its duration: main chain scission and crosslinking, leading to some different etch resistance improvement. Consequently even if some specific treatments (VUV light exposure, annealing) can improve the resist LWR before transfer, the subsequent plasma etching steps can degrade it and also induce a loss of CD control. We will show that by combining plasma exposure and annealing treatment the photoresist LWR could be decreased down to 2.6nm and that this LWR could be transferred into polysilicon gate without LWR and CD degradation.

3:00 PM PS+SE-MoA-4 Plasma Smoothing of Extreme Ultraviolet Photoresist: LWR Reduction at 30nm Half Pitch
Efrain Altamirano-Sanchez, Alessandro Pret Vaglio, Roel Gronheid, Demnd Marc, Werner Boullart (IMEC, Belgium)
Over the past years a tremendous amount of effort has been put on Extreme Ultraviolet lithography (EUVL) for printing the 16nm node. In 2010 imec’s EUV alpha demo tool printed for the first time a 16nm node SRAM cell using state-of-the-art EUV photoresist (PR). In order to meet the line width roughness (LWR) requirements for the 16nm node, we have investigated plasma smoothing techniques on 30nm half pitch lines after exposure.
 
In this contribution we will report our findings on EUV PR plasma treatment (PT) using H2, Ar and HBr for LWR reduction; and subsequent, in-situ PR encapsulation for preserving the improved LWR during subsequent pattern transfer. Currently we are investigating the vacuum UV role during the H2 PT using MgF2 windows. In parallel, we are characterizing a PR resist encapsulation carried out in-situ (in the etching chamber). The encapsulating layer is characterized through various analytical techniques, such as: XPS, Ellipsometry, mass metrology and TEM. These characterizations will provide understanding of how the H2 plasma improves the LWR and of how the PR encapsulation preserves the PR pattern allowing a straight HM patterning profile.
 
This study was carried out on 300 mm silicon wafers with the following patterning stack, from top to bottom: 50 nm PR/20 nm under layer (UL)/15 nm SiOC/40 nm amorphous carbon layer (ACL). The dry etching was carried out in a ICP like reactor from Lam research (Kiyo C reactor TCPTM)
 
Power spectral density (PSD) analyses have shown that Ar and HBr plasmas do not improve the LWR of EUV PR as they do on 193i PR. Using the correct conditions in the ICP reactor, H2 plasmas can improve LWR by ~30% without CD bias; in other words no PR reflow was detected.
 
After the H2 plasma treatment, the pattern was transferred into the UL using a CH2F2/CF4/O2 gas mixture. This chemistry provides on the one hand a high PR passivation but on the other hand induces a PR pattern degradation, which is translated into higher LWR. A novel alternative for maintaining the improved LWR after H2 PT was to deposit in-situ (in the ICP reactor) a silicon containing layer that encapsulates the PR and preserves the improved LWR when the pattern is transferred into the UL, the SiOC and the ACL.
3:20 PM BREAK
3:40 PM PS+SE-MoA-6 Controlling Line Edge Roughness for Aggressively Scaled CMOS Devices by Reducing Organic Underlayer Deformation
Hiroyuki Miyazoe, Sebastian Engelman, Martin Glodde, Michael Guillorn, Markus Brink, Amit Banik, William Graham, Edmund Sikorski, Nicholas Fuller (IBM T.J. Watson Research Center)

As the feature size in CMOS technology continues to shrink, control over line edge roughness (LER) and line width roughness (LWR) is approaching atomic scale for the 14 nm node and beyond. When the line/space patterns are decreased, deformation of the organic underlayer material occurs during plasma processing, which adversely impacts pattern transfer into substrate material to fail. We previously reported that vacuum ultraviolet (VUV) “curing” (modification) of the organic under layer material by plasma discharges is a promising approach to extend the process window for obtaining high fidelity pattern structures [1]. To understand the details of this approach in more detail, we exposed VUV light at various wavelengths corresponding to the absorption energies of various underlayer materials by synchrotron radiation on “unopened” and “opened” samples comprising stacks inclusive of the underlayer material. We found that exposing underlayers to certain absorption maxima of the patterning material maximize the curing effect. Specifically, for the underlayer material trademarked as NFC, absorption maxima at 155 nm had relatively large effect, reducing LER by as much as 37%. Curing treatments on “unopened” (post lithography) samples comprising varying underlayer materials effectively reduced the pattern deformation, though much less effective than on previously “opened” samples comprising the same. Similarly, chemical and physical effects of the plasma on underlayer material deformation were investigated. To investigate the changes based on chemical modification by plasma, we have generally found that the non-selective pattern transfer plasmas are better than highly selective processes to minimize the deformation. In addition, we also noticed that the ion energy of the discharge plays an important role in the deformation and found that the extent of pattern deformation decreased for lower energies. In addition, many commercially available organic underlayer materials were tested with respect to their composition and hardness. We found that the relative hydrogen content of the underlayer material seemed to correlate with the deformation behavior, while little effect was seen for hardness. These initial findings show that a close interlock between patterning materials, lithography and plasma processes has to be executed to minimize effects such as LER for future technology nodes.

[1] N.C.M. Fuller et al., AVS presentation (2007).
4:00 PM PS+SE-MoA-7 Single Digit Nano Plasma Etching
Deirdre Olynick (Lawrence Berkeley National Laboratory)

One of our themes at the Molecular Foundry at LBNL is “Single-Digit Nanofabrication” (SDN) which describes our efforts to pattern materials with resolution, precision, and control at the sub-10 nm scale. At this scale, we enable research and applications in areas such as nanoelectronics, nanomagnetics, nanofluidics and plasmonics. For instance, the Molecular Foundry’s work on graphene nanomeshes using SDN show a band gap opening with sub-bands.1 However, the patterning and plasma pattern transfer for SDN present significant challenges and the question arises, “What are the limits?”

In this talk, I will survey nanoscale etching work from 30-3 nm to demonstrate the challenges and opportunities for plasma nanopatterning. High resolution patterns are made using a variety of materials and techniques including atomic layer deposition, directed self-assembly and electron beam and nanoimprint lithographies. Recent work with cryogenic etching and simulation in the SDN regime will be highlighted.

1.Liang, X.; Jung, Y.-S.; Wu, S.; Ismach, A.; Olynick, D. L.; Cabrini, S.; Bokor, J., Nano Lett 2010 10, pp 2454-2460.

This work was performed at the Molecular Foundry, Lawrence Berkeley National Laboratory, and was supported in part by the U.S. Department of Energy under Contract No. DE-AC02—05CH11231

4:40 PM PS+SE-MoA-9 Ar and He Plasma Pretreatments of Organic Masking Materials for Performance Improvements during Plasma Pattern Transfer
Dominik Metzler, Florian Weilnboeck, Nirav Kumar, Gottlieb Oehrlein (University of Maryland); Sebastian Engelmann, Robert Bruce, Nicholas Fuller (IBM T.J. Watson Research Center)

Plasma based pretreatments (curing) of organic masking materials have been shown to offer significant potential for reduction of surface, line edge and line width roughness during the subsequent pattern transfer process. Since one of the underlying mechanism of roughness formation has been reported to be a synergistic effect of energetic ion bombardment, ultraviolet (UV) / vacuum ultraviolet (VUV) plasma radiation and increased temperature, a possible mechanism of organic mask curing may be the elimination of the above synergism by sequential exposures to VUV plasma radiation followed by ion bombardment dominated plasma etching. To examine this question, and establish the impact of pre-treatments on roughness introduction, etch resistance and pattern transfer fidelity during the pattern transfer process, we have studied pretreatments of 193nm PR and other organic masking materials in Ar and He discharges with pronounced UV/VUV emission. The impact of pretreatments and subsequent pattern transfer processes on the organic materials were monitored in real-time by in-situ ellipsometry. Multilayer modeling of these data allows determination of optical material density and film thickness of various layers, i.e. the surface layer densified by ion bombardment, the UV/VUV modified layer in the material bulk, and the rough surface layer. Additionally, post plasma characterization by Fourier transform infrared spectroscopy (FTIR) and atomic force microscopy (AFM) provides information on bulk material modifications and surface roughness improvements, respectively. UV/VUV spectroscopy combined with Langmuir probe characterization provides information on plasma parametric dependencies of photon and ion fluxes, respectively. Pretreatments in a He discharge reduced roughness introduction in a C4F8/Ar pattern transfer process by ~50% (RMS=4nm) while increasing film etch resistance by ~30% leading to only a slight increase in the total material removal when compared to the uncured material (pattern transfer only). Time resolved studies of this process allow detailed investigation of distinct stages and underlying mechanisms of materials modification, such as radiation induced changes in the materials bulk, ion crust formation at the film surface, and surface roughness development. Correlating these observations with post plasma characterization by AFM and FTIR allows a mechanistic understanding of plasma based pretreatments or organic materials and their impact on the subsequent pattern transfer process. The dependence of improvements in masking performance on Ar and He plasma based pretreatments and a description of the underlying mechanisms will be presented.

5:00 PM PS+SE-MoA-10 Sub-32nm Node Mask Patterning for Deep Silicon Trench Etch
Justin Yarmush, Hirofumi Haga, Yuki Chiba, Kaushik Kumar, Peter Biolsi (TEL Technology Center, America, LLC); JuJin An, Habib Hichri, Bachir Dirahoui, Xi Li (IBM Microelectronics); Richard Wise (IBM Research)

In the last several semiconductor device generations, one of the complexities in fabricating ever smaller feature sizes and increased density, has been the stringent requirements placed on photolithographic processes and mask scheme formation. The use of Immersion Lithography, reduced resist layer thicknesses and planarity requirements have driven the need for complex multilayer-multimaterial stacks that can be utilized for subsequent plasma Etching masks.

One requirement by manufacturers of Sub-32nm DRAM technology, utilizing deep silicon memory cells, is an extremely high aspect ratio mask that enables the anisotropic etch profile of the Silicon Trench. To meet both the needs of the photolithographic processes and the high aspect ratio mask requirements of the Silicon Trench etch, a complex Photo Resist, Silicon Anti-reflective coating, Optical Dispersive Layer, CVD Oxide layer is used. This deposited mask stack also sits on top of a Silicon-on-Insulator layer that must also be etched through anisotropically.

In this paper, we describe the unique requirements of etching each film stack in order to meet the overall physical requirements of this high aspect ratio mask patterning etch. It also describes the process capabilities of a commercially available Capacitively Coupled Plasma reactor that enables it to meet these advanced complex film stack requirements.

This work was performed by the Research and Development team at TEL Technology Center America in joint development with IBM Semiconductor Research & Development Center.

5:20 PM PS+SE-MoA-11 Quantitative Determination of the Mechanism of Anisotropic Silicon Etching
Melissa Hines, Marc Faggin, Kun Bao, Ankush Gupta, Brandon Aldinger (Cornell University)

The production of atomically perfect surfaces by simple solutions is both intrinsically fascinating and technologically important. For over half a century scientists have known that many aqueous bases — so-called “anisotropic etchants” — selectively attack all silicon faces except Si{111}. As a result, a macroscopic silicon sphere placed into one of these solutions spontaneously transforms into a polyhedron. Twenty years ago, the surface science community was rocked when researchers at Bell Labs showed that, in some cases, the etched surfaces are not just smooth, they are atomically flat and passivated by a single monolayer of H atoms. This type of highly precise but inexpensive chemical machining is used in diverse applications ranging from the production of ink-jet nozzles to the fabrication of ultrasmall transistors to the cleaning and polishing of silicon wafers; however, the chemical reactions that govern this behavior remain a source of controversy. We resolve this controversy and give the first quantitative, atomic-scale understanding of anisotropic etching across all silicon surface — not just Si(111).

The reactivity of a wide variety of Si(100) surface sites towards a prototypical anisotropic etchant, ammonium fluoride, is quantitatively determined from measurements of the atomic-scale morphology and chemical composition of etched surfaces. These measurements enable the effects of chemical strain, steric hindrance, and chemical structure to be separately determined. The high selectivity of the etchant is explained by the strain energy released during the chemical reaction; steric hindrance plays an important, but distinct, role. This pattern of reactivity is inconsistent with previously postulated mechanisms of aqueous silicon etching, which postulate insertion reactions across rigid, essentially immobile Si-Si backbonds. Instead, we propose that cleavage of the backbond occurs during the formation of a surface silanone which is driven by simultaneous interadsorbate strain release. On Si(100) surfaces, this hypothesis quantitatively explains the characteristic alternating-row etch morphology on both flat and vicinal surfaces, the observed site-specific reactivity, the unusual reaction kinetics, and the hydrogen termination of the etched surface without invoking an unreasonably strained reaction intermediate. This mechanism also explains the atomic-scale reactivity and relative etch rates of the three principal faces of silicon, thereby giving the first atomic-scale understanding of anisotropic silicon etching.

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule