AVS2011 Session PS1-TuA: Advanced BEOL / Interconnect Etching II

Tuesday, November 1, 2011 2:00 PM in Room 202

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule

Start Invited? Item
2:00 PM PS1-TuA-1 Feature Profile Evolution for HARC Etching in SiO2
Paul Moroz (Tokyo Electron US Holdings Ltd.); Song-Yun Kang (Tokyo Electron Ltd., Japan)

Feature profile control for HARC etching is a very important issue. Possible profile defects such as bowing, necking, variations in depth and shape, among others, become critical for the next technological steps with ever shrinking dimensions of the features. Using numerical simulations as a tool, we studied HARC etching of SiO2 by the fluorocarbon-argon-oxygen plasma in a capacitive-type plasma system. The first step in our simulations involved estimation of neutral and ion fluxes, and their angle-energy distributions for most important species involved into processing in the systems chosen for comparison. Then the feature profile simulator FPS-3D [1] was run to estimate various chemical and physical effects on HARC profile evolution during processing. The difficult part in those simulations was taking into account deposition of polymer films and etching through those films. The final results come as a competition between counteracting effects of etching and deposition. Important effects of ions for etching at the bottom of the contact holes, and of polymer films for protecting sidewalls from further etching, were investigated. Possible bowing and necking effects were considered in detail. Calculations were carried out for different sizes of the features, different gas compositions, and different RF powers leading to different ion energies. Published data for the underlined reaction mechanisms were analyzed and used for tuning the chemical reactions database in the FPS-3D code. Comparison of simulations with different experiments and the corresponding analysis of HARC etch processing are presented. The authors are thankful to Masanobu Honda and Akira Tanabe for providing experimental data.

[1] P. Moroz, 57th AVS Int. Symp., PS1-ThA10, Albuquerque, NM, October, 2010.

2:20 PM PS1-TuA-2 Hybrid Strip Process to Minimize Low-k Dielectric Damage
Eric A. Hudson, Tom Choi, Kenji Takeshita, Stephen Sirard, Bing Ji, Mayumi Kato, Maryam Moravej, Odette Turmel, Gerardo Delgadino, Seongjun Heo, Andrew Bailey III (Lam Research Corp.)

Integration of materials with low dielectric constant into microelectronics interconnect structures has presented significant challenges for several years. As structures and materials have evolved, there has been a consistent concern that plasma processing damages the low-k material, especially during process steps intended to strip organic mask films. For efficiency, it is convenient to remove organic films in situ, as part of a sequence of etch steps in the etch reactor. But plasma strip conditions may remove carbon from the dielectric film, causing an increase in dielectric constant and other issues. This is an increasing concern as the target dielectric constant is reduced with successive device generations, and the films become more sensitive.

For via-first integration schemes which use an embedded metal hard mask to define the trench pattern, organic mask stripping is required only after the vias are partially defined in the dielectric. This approach protects the trench sidewall by eliminating the post-trench strip, but may lead to localized regions of dielectric damage in the final structure, corresponding to the remaining material which was exposed to post-via strip.

For in situ plasma strip processes based upon CO2 gas, damage to the dielectric film is mainly induced by reactive neutral species at the via sidewall. There are several strategies to reduce this damage while maintaining the ability to strip the targeted organic mask layer. One is to minimize the radical to ion ratio, in order to reduce the damage rate while preserving strip rate. Another method is the “hybrid strip” in which a protective layer of polymer is deposited on the via sidewall prior to strip. This barrier reduces the influence of strip plasma species on the underlying dielectric film. Damage reduction is achieved using passivation based either upon hydrocarbon or fluorine-containing polymers.

Because the strip plasma tends to remove the passivation from the sidewall, and because the damage rate increases in the final part of the strip process, better results can be achieved if the protective films is periodically re-applied. A cyclic process which alternates between passivation and strip conditions minimizes the strip-induced damage at the via sidewall. To facilitate an efficient hybrid strip process, the etch reactor design can be optimized for rapid switching between the two different plasma conditions. This requires minimization of both the gas exchange time and the RF coupling stabilization time.
3:00 PM PS1-TuA-4 Model for High Aspect Ratio Dielectric Etch Process in a Capacitively-Coupled Plasma
Jason Kenney, Ajit Balakrishna, Ankur Agarwal, Nipun Misra, Shahid Rauf, Kenneth Collins (Applied Materials, Inc.)

Etching of high aspect ratio (HAR) features in dielectric substrates is a challenging process, growing increasingly difficult with each new device generation. A common approach uses a multi-frequency capacitively-coupled plasma (CCP) reactor with high bias power and a dilute mixture of fluorocarbon and oxygen feed gases. Here, F atoms are the primary etchant, assisted by high energy ions to penetrate the fluorocarbon polymer layer formed by CFx radicals. [1] Oxygen atoms act to suppress excessive polymerization. The high energy ions give directionality to the etch process, with sidewalls remaining protected by the fluorocarbon polymer.

Due to the complexities involved in developing processes for each new application, many efforts have been made to model HAR etch processes (e.g., [2]). These necessarily involve at least two fundamental parts: (1) a plasma model of the CCP, which ideally includes the effects of power, generator frequencies, gas composition and flow, pressure, and reactor geometry, and (2) a model of the surface mechanism, which considers fluxes of relevant species, ion energies, and a simplified description of the competing deposition and etching processes. Complicating matters are the difficulties in obtaining systematic experimental data by which to validate either model so as to gain confidence in their predictive capabilities.

In this paper, we present models of an HAR dielectric etch process in a CCP, where the surface mechanism has been developed using experimental etch rate data generated on blanket oxide wafers. We focus on low pressure processes in an Ar/C4F6/O2 mixture, using a multi-frequency CCP with very high frequency (VHF) source and dual radiofrequency (RF) bias. Experimental data were collected for multiple pressures (15 – 80 mT), source powers (500 – 900 W), bias powers (3500 – 7100 W in different frequency mixtures), and flows of feed gases. The same processes were modeled using CRTRS, Applied Materials’ 2/3D reactor-scale plasma simulation tool, giving fluxes and energies of etch-relevant ion and neutral species. A surface mechanism based on that of Schaepkens et al. [1] was then developed, using the plasma simulation output and experimental etch rate data for calibration of parameters. Finally, this mechanism was used in a string-based feature profile evolution tool to quantify the impacts of varying the above process parameters.

[1] Schaepkens et al., JVSTA 17, 26 (1999).

[2] Stout et al., AVS 56th International Symposium, San Jose (2009).

3:20 PM BREAK
4:00 PM PS1-TuA-7 Plasma-induced Damage Reduction in Porous SiOCH Dielectrics by Replacement of H2 and N2 by CH2F2 and Ar in Fluorocarbon Based Plasmas
Laurent Souriau, Frederic Lazzarino, Laureen Carbonell, Ivan Ciofi, Patrick Verdonck, Jean Francois de Marneffe, Mikhail Baklanov (IMEC, Belgium)

With the scaling of devices and related interconnects, the integration of dielectric materials with a k-value lower than 2.5 is greatly required. In particular hybrid porous SiOCH low-k materials are considered as promising candidates. However, such low-k dielectrics generally have a poor resistance to plasma damage due to their high connected porosity. It is therefore important to develop less damaging etching chemistries as well as more resistant low-k materials. During the discharge, ion bombardment, active radicals and VUV radiations are responsible for the degradation of the low-k. Mainly, -CH3 groups are removed from the film resulting in its hydrophilization and consequent moisture uptake which has a severe impact on the final k-value after patterning.

In order to limit the damage during plasma etch, fluorocarbon-based chemistries allow a thin protective etch polymer film to be deposited on the surface of the low-k film during plasma etch and therefore effectively prevents possible damage by penetration of active radicals. We have evaluated the etching of a SiOCH dielectric with a k value of 2.0 using CF4 in combination with either C2H4 or CH2F2 and using H2, N2 or Ar as tuning gas. The plasma damage has been evaluated by measuring the loss of –CH3 groups and –OH uptake by FTIR and by measuring the k-value variation by forming MIS planar capacitor. Starting from a CF4/H2/N2 plasma, it has been found that the damage is reduced by substituting H2 by N2. H is able to diffuse deep into the low-k film and probably remove –CH3 groups by forming CH4. Switching to a CF4/C2H4/N2 plasma further allows to decrease the damage, most probably through the formation of a thicker passivation layer on the low-k. Plasma damage can be further reduced by replacing N2 by Ar, leading to a CF4/C2H4/Ar plasma. Possibly, N2 is causing damage by extracting C from the low-k film by forming HCN by-product. However the replacement of N2 by Ar resulted in a reduction of the etch rate which could be recovered by finally replacing C2H4 by CH2F2 while maintaining a low damage level.

Finally, 90nm half pitch trenches have been patterned into the SiOCH dielectric film using a dual hard mask approach (spin-on glass and spin-on carbon films). The influence of the hard mask on the plasma composition required optimization of the etch conditions in order to obtain straight profiles. Integrated k-value after complete processing will be discussed.

In conclusion, we have showed that H2 and N2 were responsible for the low-k damage during etch in flurocarbon based plasmas. Switching to a CF4/CH2F2/Ar plasma allows to reduce damage while maintaining good patterning capability.

4:20 PM PS1-TuA-8 Using Pulsed Power to Control Etch Properties of SiO2 in Ar/CF4/O2Capacitively Coupled Plasmas
Sang-Heon Song, Mark Kushner (University of Michigan)

Feature scale etch or deposition properties in plasma processing of microelectronic devices are determined by the energies and fluxes of radicals and ions to the wafer. These fluxes are ultimately controlled by controlling and customizing the electron energy distribution function f(ε) which determines the dissociation patterns of feedstock gases and the relationship between applied power and sheath potential. One way to customize f(ε) in dual frequency capacitively coupled plasmas (CCPs) is using pulse power for either or both of the high frequency or low frequency. Pulsed power in CCPs is attractive for controlling f(ε) and plasma properties as it provides a means for producing combinations of fluxes (e.g., magnitude, identity and energy) not otherwise attainable using continuous wave excitation. In these systems, the choices of duty cycle and pulse repetition frequency (PRF) are important in determining the cycled average value of f(ε) and fI(ε) due to the role of thermalization of electrons during the afterglow. The ability to control f(ε) and fI(ε) may have both clear and subtle effects on the critical dimensions (CD) of etch features. For example, charge accumulation in the feature and the angular spread of ions may be controlled by the choice of duty cycle and PRF, both of which affect the etch profile. This enables some ability to control, for example, the sidewall slope of high-aspect-ratio (HAR) features by pulse-power formats. To demonstrate the ability to control feature profiles through control of f(ε) and fI(ε) using pulsed plasmas, simulations were performed separately in two regions – on the equipment scale using the Hybrid Plasma Equipment Model (HPEM) and on the feature scale using the Monte Carlo Feature Profile Model (MCFPM). The fluxes of radicals and ions to the wafer from the HPEM are transferred to the MCFPM to calculate the etch properties. Plasma properties, f(ε) and fI(ε), and ratios of fluxes to the wafer for an Ar/CF4/O2 gas mixture in a 2-frequency CCP will be discussed. The tuning of etch rates and profiles of HAR features in SiO2 resulting from these fluxes will then be summarized.

* Work supported by the Department of Energy Office of Fusion Energy Sciences and the Semiconductor Research Corp.

4:40 PM PS1-TuA-9 Chemical Mechanisms for Dielectric Product Development
Ajit Balakrishna, Ankur Agarwal, Jason Kenney, Sergey Belostotskiy, Shahid Rauf, Kenneth Collins (Applied Materials, Inc.)

Smaller technology nodes in the semiconductor industry place increased emphasis on etch productivity requirements, such as etch rate and critical dimension. Modeling and simulation is playing a central role in new developments (design of new hardware and exploration of novel processing options) to address the concurrent demand for improved performance and shorter development cycle. Validation against experimental data is a critical step in making these models a mature development tool. Monte Carlo, level set and string based feature scale models have been used to investigate processing issues within nanoscale features. Due to the complexity of surface and sub-surface processes, these models generally use empirically developed mechanisms which fit the model predictions to measured profiles and film characteristics. In this paper, we apply and refine the above method to develop a validated dielectric etch mechanism and feature scale model.

We obtained experimental data for etching of blanket SiO2 wafers in a c-C4F8/O2/Ar plasma over a wide range of pressures (25-150 mTorr), bias powers (500-1500 W), and c-C4F8 and O2 flows in a dual-frequency capacitively coupled plasma etcher. The etch rate increased with bias power and c-C4F8 flow rate, weakly decreased with increasing O2 flow rate, and moderately increased with pressure. The reactor scale simulations were performed using CRTRS, a 2/3-dimensional fluid plasma model. The plasma simulations provided fluxes of various fluorocarbon polymerizing species, atomic oxygen and atomic fluorine. We also calculated fluxes and energies of the ions impacting the wafer. These values were used to calibrate an etch mechanism, whose main features are (a) a polymer thickness determined by the balance between polymer deposition (by CxFy species) and polymer removal (by ion sputter and O etch), (b) an exponential decay in ion energy through the polymer, and (c) reactive ion etching at the polymer-dielectric interface.[1] The etch rates and their trends with bias power, c-C4F8 flow rate and O2 flow rate were captured well in this model. The calculated polymer thicknesses also exhibited the expected trends, decreasing with bias power and O2 flow rate and increasing with c-C4F8 flow rate. Our mechanism was not able to capture the etch rate trends with pressure, which is likely due to deficiencies in our plasma chemistry mechanism for Ar/c-C4F8/O2 gas mixture. Finally, the calibrated mechanism was used in a string based feature profile model to investigate the influence of control parameters on dielectric etch process in a dual-frequency capacitively coupled plasma tool.

[1] Schaepkens et al, JVST A, 17, 26 (1999).

5:00 PM PS1-TuA-10 Optimization of CF3I Process for Low-K Etching
Adam Gildea, Justin Long, Eric Eisenbraun (College of Nanoscale Science and Engineering, the University at Albany-SUNY); Vincent Omarjee, Francois Doniat, Nathan Stafford, Christian Dussarrat (American Air Liquide – Delaware Research and Technology Center)

Semiconductor manufacturing is facing major integration challenges. The introduction of ultra low-k materials (k=2.5 and below) in BEOL processing combined with the always shrinking dimensions increased the number of film parameters such as LER or species diffusion that need to be perfectly mastered. In particular, the use of porous dielectrics to reduce permittivity is done at the expense of film chemical and mechanical robustness. With films having porosity ranging from 15 to 30%, patterning collapse, impurity diffusion and other defects are becoming serious issues. A negative impact on interconnect reliability and performance is observed unless tight process controls and/or new approaches are employed. To overcome the defects created during the low-k patterning, one approach that is considered here is the use of new etch gases. Among the possible choices, CF3I has seen increased momentum over the past years. When the first studies >10 years ago demonstrated some interesting features of CF3I such as a GWP<1, the benefits were still too marginal to consider a fundamental change for dielectric etching in the semiconductor industry. Recently, with the increasing challenges to be overcome when etching the low-k, alternatives such as CF3I are receiving increased attention.

In this talk we will present a comparison of the etching performance such as etch rate and selectivity of CF3I alone or in combination with standard fluorocarbons such as CF4 and C4F8.

Etching rate measurements performed on blanket porous low-k films (k=2.4) showed comparable values between CF3I and the standard gases; therefore demonstrating no loss of throughput. Possible iodine incorporation during the process was carefully monitored and found to be negligible. Iodine, if detected, is usually at the detection limit of the tools (<0.4 at.%) and concentrated at the film surface therefore could be easily removed during the subsequent ashing and cleaning steps.

Patterned structure etch testing was performed and optimized using a DOE approach. CF3I was found to be suitable to obtain nicely etched features. In many cases, better etched structures and higher selectivity were obtained using CF3I compared to the standard gases.

5:20 PM PS1-TuA-11 Mechanism of Highly Selective SiO2 Etching over Si3N4, Si and Photoresist Using Hydro-Fluorocarbon Gases
Yudai Miyawaki, Yusuke Kondo, Kohei Asano, Makoto Sekine, Kenji Ishikawa, Toshio Hayashi, Keigo Takeda, Hiroki Kondo, Masaru Hori (Nagoya University, Japan)

Highly selective etch of dielectric films is one of the key technologies in integrated circuits fabrications. We achieved extreme high SiO2 selectivity against Si3N4, Si and ArF photoresist using hydro-fluorocarbon gas, C5HF7 and O2, Ar gas mixture [1] in a dual frequency (60 MHz / 2 MHz) capacitively coupled plasma (CCP). The selectivity over Si3N4 seemed to be infinite because selective fluorocarbon deposition occurred on Si3N4. The surface roughness that leads to line edge roughness was reduced by the selective deposition. The selective etch mechanism among plasma etch using fluorocarbon gases with and without hydrogen in gas molecule was investigated by the diagnostics of gas phase species and etched surfaces. As comparing CxFy, CxFyO and CHxFy gases, it was found that the hydrogen containing species with larger molecular weight play an important role for forming thick and carbon-rich polymer film especially on Si3N4, as well as on Si, photoresist. For the CHxFy gas plasma, the density of F radical that degrades the selectivity was inclined to be lower. CHxFy species act as an etchant for SiO2 that contains oxygen, similar to the conventional selective etch scheme of SiO2 by fluorocarbon plasma [2]. On the other hand, it could be deposition species to reduce the etch rates after some etch reaction on the surfaces of Si3N4, Si and photoresist that have no or less oxygen content. This means that the CHxFy species are etchants at the very beginning and turn to species for fluorocarbon polymerization just on Si3N4, Si and photoresist. Here, we need some sacrifice layer to initiate that selective deposition. The photoresist surface suffered roughness formation in the beginning, then the roughness was cured by the further deposition with ion bombardment [3]. This protective and roughness curing deposition on photoresist should occur for CxFy gas plasma in some condition (e.g. higher gas-mixing ratio of CxFy/Ar), however it also forms a thick polymer on SiO2 to stop etching. The temperature dependence for the etching and deposition properties [4] suggested that the difference of sticking coefficient for species with and without hydrogen was not so important. It is also speculated that the thick polymer formation on Si3N4, Si and photoresist while less reducing the SiO2 etch rate is due to the relative large flux of specific hydrogen containing species in C5HF7 plasma.

Acknowledgement: Tokyo Electron Ltd., ZEON Corp., JSR Corp.

References: [1] Y. Miyawaki, et al.; Proc. 32nd Int'l. Symp. Dry process, P.181 (The Japan Society of Applied Physics, Tokyo, 2010). [2] M. Sekine; Applied Surface Science 192, (2002) 270. [3] K. Asano, et al.; The 72nd Fall Meeting of JSAP, 31a-M-6 (The Japan Society of Applied Physics, Yamagata, 2011). [4] Y. Miyawaki, et al.; ibid., 31a-M-4
Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule