AVS2011 Session PS+TF-ThM: Plasma Deposition and Plasma Enhanced ALD

Thursday, November 3, 2011 8:00 AM in Room 202

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule

Start Invited? Item
8:00 AM PS+TF-ThM-1 High Quality SiNx by Microwave RLSA Plasma Enhanced Atomic Layer Deposition
Takayuki Karakawa, Masahiro Oka, Noriaki Fukiage, Hirokazu Ueda, Toshihisa Nozawa (Tokyo Electron Technology Development Institute, INC., Japan)
Shrinking critical dimensions of Ultra Large Scale Integration (ULSI) and optical device structures continue to drive advances in semiconductor fabrication processes. Three dimensional (3D) and metal gate structures for example, require low temperature dielectric layers (e.g., SiO2 and SiNx) with dimensions and film quality that may be met only by Plasma Enhanced Atomic Layer Deposition (PEALD) [1]. In addition to film quality and conformality, minimizing plasma damage in the PEALD process sequence is imperative. Prior to this work we characterized PEALD SiO2 deposition in a Radial Line Slot Antenna (RLSA) plasma source using bis-tertiaryl-buthyl-amino-silane (BTBAS) as a precursor [2]. In this study, we determined RLSA ALD process conditions favorable for SiNx film formation. The Si ALD precursor was dichlorosilane (DCS) and nitridation employed a NH3, N2 and Ar RLSA plasma. The wafer temperature was controlled below 400°C during the ALD process. Precursor adsorption time, process temperature, nitration time, plasma power were varied in order to determine the RLSA Plasma conditions resulting in the best SiNx ALD film quality. We obtained very high quality SiNx films having almost the same HF wet-etching rate as thermal LP-CVD SiNx (720°C) film. In this presentation, the results of Angle Resolved X-ray Photoelectron Spectroscopy (ARXPS) analyzed RLSA ALD deposited SiNx films will be presented. We found that the sub-nitride bonding ratio of the SiNx film was strongly correlated with the HF wet-etching rate, a measure of the film quality. The lower sub-nitride bonded SiNx film such as Si3N4 was created by the RLSA plasma at low temperature with low plasma damage. The reason so little damage occurs is the low rate of ion bombardment on new Si3N4 surfaces during plasma nitridation.

[1] S. Yokoyama et al., Applied Surface Science 112 (1997) 75-81

[2] Y. Osawa et al., Proceedings DPS-2009, 2-P51

8:20 AM PS+TF-ThM-2 Composition, Morphology and Optical Dispersion of Plasma Polymerized Titanium Oxide Derived Using PECVD
Lirong Sun (General Dynamics Information Technology); Amber Reed (Air Force Resarch Laboratory); Hao Jiang (General Dynamics Information Technology); John Grant (University of Dayton Research Institute); Rachel Jakubiak (Air Force Resarch Laboratory)

In this work plasma-polymerized (PP-) TiOxCy films derived from titanium (IV) isopropoxide (TTIP) were deposited onto Si and KBr substrates using remote, room temperature plasma enhanced chemical vapor deposition (PECVD). The composition and morphology of the films was varied by systematically changing the ratio of Ar to O2 in the carrier gas. Chemical compositions were investigated by FTIR and X-ray photoelectron spectroscopy (XPS). Morphological data derived atomic force microscopy (AFM) and scanning electronic microscopy (SEM) studies showed that the morphology was strongly dependent on the ratio of oxygen to total carrier gas composition. The films grown with Ar as the majority carrier gas have a featureless, smooth, one phase 3-D crosslinking morphology due to the incomplete oxidation of Ti to the most stable Ti4+ valence state during deposition in an oxygen poor environment. As the mixture of carrier gas became more O2 rich a second phase evolved that had a columnar structure attributed to TiO2. This increase in oxidation was also noted in high resolution XPS measurements where a peak corresponding to a carboxyl group in the C 1s spectrum increases with increasing O2 concentration. Development of the structured second phase was also noted in the optical dispersion obtained by spectroscopic ellipsometry. In order to fit the data, an anisotropic model has to be used that took into account the surface roughness determined from the AFM and SEM studies.

8:40 AM PS+TF-ThM-3 Plasma Deposition of Carbide-Based Composite Membranes for Hydrogen Purification
Colin Wolden (Colorado School of Mines)

We introduce a new class of composite membranes based on transition metal carbide as economical alternatives to palladium for high temperature purification of H2. In this talk we describe two membrane concepts that were synthesized using plasma-enhanced chemical vapor deposition (PECVD) and magnetron sputtering. The first is a surface diffusion membrane comprised of nanostructured Mo2C deposited on porous ceramic supports. Stoichiometric Mo2C was fabricated using a two step synthesis process. Dense molybdenum oxide films were first deposited by plasma-enhanced chemical vapor deposition (PECVD) using mixtures of MoF6, H2, and O2. Oxide films 100 – 500 nm in thickness were then converted into molybdenum carbide using temperature programmed reaction using mixtures of H2 and CH4. Permeation testing of these membranes showed very high flux, but limited selectivity. To address this issue we describe a counterflow PECVD approach that we are developing which is used to both modify the pore size of the original supports as well as to repair pinholes that develop during the carburization.

The second strategy is to produce dense composite membranes comprised of Mo2C layers sputtered onto BCC metal foils. BCC metals (V, Ta, Nb) and their alloys have extremely high permeability for atomic hydrogen, but negligible catalytic activity for hydrogen dissociation. Platinum group metals have been used as catalysts, particularly palladium, but at elevated temperature they alloy with the underlying metal and rapidly lose their activity. In contrast, the Mo2C/V membranes described in this work displayed no change in permeability when operated at high temperature for >160 hours, and transmission electron microscopy confirmed that negligible interdiffusion occurs between these materials during testing. Hydrogen dissociation is the primary factor limiting hydrogen transport, as evidenced by the sensitivity of performance to carbide morphology. Sputter parameters were systematically varied to optimize the crystal structure and morphology. These composite membranes are perfectly selective to H2, with permeability values approach and in fact exceed that of pure palladium. These findings demonstrate the potential of low cost group V metals for H2 separations with simultaneous carbon capture at temperatures compatible with the processes used for H2 generation.

9:20 AM PS+TF-ThM-5 Quantum Dot Sensitized Solar Cells using Nanoparticles of Si Compounds Fabricated by Multihollow Discharge Plasma CVD
Masaharu Shiratani, Giichiro Uchida, Muneharu Sato, Yu-Ting Wang, Kazunori Koga, Naho Itagaki (Kyushu University, Japan)
Quantum dot sensitized solar cells using semiconductor nano-particles have attracted much interest because they are expected to have a high efficiency and a low manufacturing cost. Narrow band-gap semiconductors such as CdS, PbS, and CdSe are employed as sensitizers, and they transfer photo-generated electrons in them to large band-gap semiconductors such as TiO2 under light excitation. Our interest has been concerned with quantum dot solar cells using Si coumpound nano-particles because Si is abundant and has little toxicity. We have succeeded in producing Si nano-particles of a narrow size dispersion using a multi-hollow discharge plasma CVD method [1], and have applied them to Si quantum dot sensitized solar cells [2]. In our CVD system, discharges were sustained in 8 small holes of 5 mm in diameter at SiH4 and H2 flow rates of 2 and 448 sccm. Si nano-particles were nucleated, grew in SiH4/H2 plasma produced inside small holes, and were transported to the downstream region by neutral gas flow. We also performed surface nitridation of Si nano-partciles to terminate dangling bond of the surface. Our experiments clearly demonstrated advantages of nitridation of Si nano-particles on the device performance; the short circuit current of Si QDs sensitized solar cells showed 1.3 times higher value by the nitridation and a photon to current conversion efficiency (PCE) achieved a high value of 40% at short wavelength of 350nm [3, 4]. Moreover, quantum dot sensitized solar cells using FeSi nanoparticles show better performance than those using Si nanoparticles. We will compare characteristics of three kinds of quantum dot sensitized solar cells using Si, Si/SiN core shell, and FeSi nanoparticles and discuss relationship between optical and electrical properties of the nanoparticles and the device performance.

[1] T. Kakeya, et al.: Thin Solid Films 506-507 (2006) 288.

[2] Y. Kawashima, et al.: Trans. Mater. Res. Soc. Jpn. 35 (2010) 597.

[3] G. Uchida, et al.: Phys. Status Solidi C, (2011) at press.

[4] G. Uchida, et al.: submitted to Jpn J. Appl. Phys.

9:40 AM PS+TF-ThM-6 Structure of Organosilicon Polymeric Films Obtained by Expanding Thermal Plasma Chemical Vapor Deposition
P.H. Tchoua Ngamou, M.C.M. van de Sanden, Mariadriana Creatore (Eindhoven University of Technology, the Netherlands)
Organosilicon polymeric thin films (SiCxHyOz) have attracted considerable interest due to their wide range of applications such as interlayers in gas/moisture diffusion multi-layer systems, low dielectric constant interconnect materials in microelectronic circuits and biocompatible coatings for medical implants, to name a few. An accurate control of the microstructure and composition of the films is generally required to meet specific requirements in the above-mentioned applications.
 
In this contribution, we report on the control of the composition and structure of films deposited in Ar/organosilicon precursor mixtures by using a remote plasma, i.e. the expanding thermal plasma, CVD process. The characterization of the deposited layers has been carried out by means of Fourier-transform infrared spectroscopy (FTIR), spectroscopic ellipsometry and X-ray photoelectron spectroscopy (XPS). The characterization of thin films showing a tunable chemical composition and optical properties has allowed identifying the main dissociation paths of the deposition precursor as controlled by the argon ions and electrons emanating from the plasma source, i.e. a cascaded arc, in the downstream region, where the monomer is injected. In particular, Ar ions are responsible for the charge exchange reaction with the monomer and electrons participate to the dissociative recombination with the molecular ions generated in the first reaction, as already proven in the case of other molecular gases [1]. An optimum in the monomer structure retention of 35 % has been observed under conditions of low plasma reactivity, i.e. high monomer flow-to-(Ar+,e-) flow rate ratio.
 
[1] M. Creatore, Y. Barrell, J. Benedikt, M.C.M. van de Sanden, Plasma Sources Science & Technology 15 (2006) 421-431.
10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM PS+TF-ThM-9 Impact of VUV Photons and Ions on Metal Oxide Films Prepared by Plasma-Assisted ALD with Substrate Biasing
Harald Profijt, Richard van de Sanden, Erwin Kessels (Eindhoven University of Technology, Netherlands)

The interest in plasma-assisted atomic layer deposition (ALD) has increased rapidly over the last

years, since it has been demonstrated that the presence of a plasma step can improve material

properties and ease processing conditions. Although it is known from other plasma-based techniques that

the photons and ions can play an important role during processing, their presence and influence have not

systematically been addressed so far for the specific case of plasma-assisted ALD. In this contribution,

we present a detailed investigation of the impact that VUV photons and energetic ions can have on the

properties of metal oxide thin films prepared by plasma-assisted ALD. We will demonstrate the

detrimental impact that VUV photons can have on electrical properties and we show that structural

material properties can be controlled by tuning the ion energy through substrate biasing. Optical

emission, retarding field energy analyzer, and Langmuir probe measurements were carried out in three

R&D plasma-assisted ALD reactors. In the O2 plasmas employed, vacuum ultraviolet (VUV) photons with

energies up to 9.5 eV were detected and these photons were found to be able to generate electronic

defects at thin film interfaces. This was demonstrated by experiments in which Al2O3 passivated Si(100)

samples were exposed to O2 plasmas. By exposing the samples through quartz and MgF2 windows, the

role of ions was excluded and the specific role of the high energy VUV photons was confirmed

unambiguously. Furthermore, during regular ALD conditions, an ion energy of ~30 eV was measured.

This energy is sufficient to contribute to the ALD process by, e.g., the displacement of lattice atoms and

enhancement of the ALD surface reactions, however, it is low enough to prevent substantial damage to

the deposited layers. The impact of the ions was further explored by enhancing the energy of the ions

through the implementation of substrate biasing, either through substrate self-biasing or by RF biasing.

By enhancing the ion energy up to 230 eV, these experiments demonstrated that at 300°C the crystallinity

of TiO2 films can be changed from the anatase to the rutile crystalline phase. Moreover, at a substrate

temperature of 200°C the rutile phase can be obtained when employing substrate biasing while normally

amorphous TiO2 is obtained. These results are particularly significant as generally the deposition of rutile

TiO2 is difficult to achieve by ALD due to substrate temperature limitations imposed by the precursors

used. It is therefore evident that substrate biasing is a promising method to extend the possibilities of ALD.

11:20 AM PS+TF-ThM-11 Plasma Enhanced Atomic Layer Deposition and Plasma Etching of Gadolinium Oxide High-k Gate Dielectrics
Steven Vitale (MIT Lincoln Laboratory); Chris Hodson (Oxford Instruments Plasma Technology, UK)

Lanthanide series oxides are being evaluated as second-generation high-k gate dielectric materials. In addition to improving transistor electrostatics by reducing the equivalent oxide thickness (EOT), using lanthanide series gate oxide capping layers allows the effective metal gate workfunctions to be tuned toward the silicon band edges, providing the correct transistor threshold voltages. However these non-traditional CMOS materials have several integration challenges that must be overcome, including depositing a thermally-stable, high quality film with low fixed charge and high-k, without damage to the underlying layers of the gate stack. In addition, in some gate-first and gate-last approaches, the oxide must be etched from the source/drain regions prior to silicidation.

In this work, plasma-enhanced atomic layer deposition (PE-ALD) of gadolinium oxide is reported for the first time. Using Gd(iPrCp)3 as the organometallic precursor and a pure O2 plasma as the oxygen source, Gd2O3 growth is observed from 150oC to 350oC, though the optical properties of the film improve at higher temperature. True layer-by-layer ALD growth of Gd2O3 does not occur under all conditions, in fact only a relatively narrow window of self-limiting ALD growth of 1.4 Å/cycle was observed at 250oC and below under certain precursor dose conditions. As the temperature increases, high-quality films are deposited, but the growth mechanism appears to become CVD-like. At 250oC, the refractive index of the film is stable at ~1.80 regardless of other deposition conditions, and the measured dispersion characteristics are comparable to those of bulk Gd2O3. The electrical characteristics of the films, such as fixed charge and dielectric constant, are extracted from C-V measurements using TiN metal gate capacitors, and will be reported.

The plasma etching rate of the ALD Gd2O3 film in a high-density helicon reactor is very low. Little difference is observed in etching rate between Cl2 and pure Ar plasmas, suggesting that physical sputtering dominates the etching at high bias power. A threshold bias power exists below which etching does not occur, thus it may be possible to etch a metal gate material and stop easily on the Gd2O3 gate dielectric. The threshold bias power is lower in a Cl2 plasma compared to an Ar plasma, which suggests there is a small ion-enhanced chemical component to the etching as well.

*This work is sponsored by the Department of the Air Force under Air Force Contract #FA8721-05-C-0002. Opinions, interpretations, conclusions and recommendations are those of the author and are not necessarily endorsed by the United States Government.

11:40 AM PS+TF-ThM-12 Nano- & Micro-Hybrid Materials by a Novel Plasma Deposition Method
Michal Gulas, Alexandre Felten (Research Center in Physics of Matter and Radiation (PMR) Facultés Universitaires Notre-Dame de la Paix (FUNDP), Belgium); Ali Mansour, Jérôme Guillot (Centre de Recherche Public - Gabriel Lippmann, Luxembourg); Nicolas Claessens (Université Libre de Bruxelles, Belgium); Francois Reniers (Universite Libre de Bruxelles, Belgium); A.L. David Kilcoyne (Lawrence Berkeley National Laboratory); Jean-Jacques Pireaux (Research Center in Physics of Matter and Radiation (PMR) Facultés Universitaires Notre-Dame de la Paix (FUNDP), Belgium)

A novel low temperature plasma method using organometallic precursors has been tested and optimised to produce various hierarchical nano-hybrid and micro-hybrid materials. Very fast, operating at low or ambient temperature, this original “one pot” physical method is extremely simple, not requiring any pre- or post-treatment. The plasma-based technique can use any kind of electric discharge (direct current, radio or microwave frequency), does operate at low pressure or at the atmosphere, and can be combined with a large choice of plasma gases and organometallic precursors. Examples of the versatility of the method will be shown, including Pt and Ni-decorated carbon nanotubes (CNTs), Ag and Ti-decorated latex beads, and Pd-decorated clay sheets.

One focus of the presentation will be the preparation and full characterisation of bimetallic Pd/Rh - CNT hybrids. The x-ray diffraction (XRD) and TEM (EDX) analyses were used to confirm that the deposited nano-particles are indeed truly Pd/Rh bimetallic, excluding the possibility of a simple physical aggregate/mixture of the two metals ; complementary analytical tools such as x-ray photoelectron spectroscopy (global information) and scanning transmission x-ray microscopy (truly local information) reveal that the particles contain a metal/oxide ratio depending of the processing gas; they testify also of the possibility of a nano-particle core-shell structure and of a reorganisation of its structure depending of the processing gas.

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule