AVS2011 Session PS-ThM: Neutral Beam and Low Damage Processing

Thursday, November 3, 2011 8:00 AM in Room 201

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule

Start Invited? Item
8:00 AM PS-ThM-1 2010 Plasma Prize Lecture - Super-low Damage Top-down Processing for Future Nanoscale Devices
Seiji Samukawa (Tohoku University, Japan)
For the past 30 years, plasma process technology has led in the efforts to shrink the pattern size of ultralarge-scale integrated (ULSI) devices. However, inherent problems in the plasma processes, such as charge build-up and UV photon radiation, limit the process performance for nanoscale devices. To overcome these problems and fabricate nanoscale devices in practice, we have proposed damage-free neutral-beam process. In this presentation, I introduce our developed damage-free etching, structure-designable deposition of super low-k SiOC film and low-temperature Si oxidation (thin SiO2) processes using neutral beams and discuss the actual applications of neutral beam processing for future nanoscale devices (such as, Fin-MOSFET, and Quantum Dot Solar Cell). Neutral beams can perform atomically damage-free etching, deposition and surface modification. Then, the neutral beam process can precisely control the atomic layer chemical reaction and defect generation. This technique is a promising candidate for the nano-fabrication technology in future nanoscale devices.
8:40 AM PS-ThM-3 A Numerical Simulation Method for Plasma-induced Damage Profile in SiO2 Etching
Nobuyuki Kuboi, Tetsuya Tatsumi, Shoji Kobayashi, Jun Komachi, Masanaga Fukasawa, Takashi Kinoshita, Hisahiro Ansai (Sony Corporation, Japan)

To create high performance metal-oxide semiconductor devices, it is necessary to reduce variations in the critical dimension, the etching profile, and the amount of damage caused. Recent advancements in plasma processing for the gate electrode, sidewall, and high aspect contact hole have highlighted the importance of fully understanding how plasma induces damage and how to control this damage. We also need to find a way to quantitatively predict the damage depth profile using a numerical simulation that takes a realistic surface reaction into consideration, because it is quite difficult to observe the distribution of damage in the patterns with high aspect ratios. One commonly used simulation method, molecular dynamics (MD) calculation, unfortunately has a very limited range and cannot simultaneously consider a time-dependent etching profile in the 100 nm scale.

We developed a numerical simulation method for the distribution of plasma-induced physical damage to the SiO2 and Si layers during fluorocarbon plasma (C4F8/O2/Ar) etching. In our method, the surface layer is assumed to consist of two layers: a C-F polymer layer and a reactive layer. Physical and chemical reactions in the reactive layer divided into several thin slabs and in the deposited C-F polymer layer, which depends on etching processes are considered in detail considering reactivity of radicals, dangling bonds ratio, and generation of by-products (CF2, SiF2, and SiF4) with ion energy dependence. As for ion and radical fluxes, we used the results from our previous experiments.

We used our simulation method to calculate the SiO2 etch rate, the thickness of the C-F polymer layer (TC-F), the selectivity of SiO2 to Si layer, and the O2 dependence of both the SiO2 etch rate and the selectivity during C4F8/O2/Ar plasma etching in the steady state. Results demonstrated that calculation of the absolute values as well as their behaviors were consistent with those of our experimental data. We also successfully predicted depth profiles of physical damage to the Si and SiO2 layers in the steady state introducing our re-gridding method, which were affected by the TC-F value. When we calculated the time-dependence of the amount of Si damage, we found that much of the damage was generated in the pre- and early stages of the over etching step during the SiO2/Si layer etching, in spite of the high selectivity. After that, the amount of damage was gradually decreased by etching and finally became constant.

These results demonstrate that the TC-F value and the over etching time must be carefully controlled by process parameters to reduce the amount of damage during fluorocarbon plasma etching.

9:00 AM PS-ThM-4 Theoretical Analysis of Electron Transfer during the Process of Neutral Beam Generation
Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono, Yasuro Iriye (Mizuho Information & Research Institute, Inc., Japan); Shinji Ueki (BEANS Project 3D BEANS Center, Japan); Osamu Nukaga (Fujikura Ltd.,Japan); Tomohiro Kubota (Tohoku University, Japan); Masakazu Sugiyama (University of Tokyo, Japan); Seiji Samukawa (Tohoku University, Japan)

We have developed numerical simulation software named QuickQD[1,2] that calculates the time-evolution of wave functions of electrons based on the First pinciples Quantum Mechanics. We have applied QuickQD for analyzing neutral-beam generated by the neutral-beam etching system developed by Samukawa, et al [3]. Positive or negative ions passing through a graphite aperture of this etching system are converted to neutral atoms by exchanging their valence electrons during a collision with the aperture sidewall.

Our numerical model consists of an ion (Cl- or Cl2+) and 24 carbon atoms forming a graphite sheet. The ion has been moved to collide with the graphite sheet and then bounce back to its original position. QuickQD has simulated the time-evolution of several ten wavefunctions of both the ion and carbon electrons during the whole process of the collision. We have evaluated the distribution of electron density around the ion, and we have determined the probability of neutralization.

We have obtained some numerical results that agree well with experiment results, for example,

a negative Cl- ion transfers its valence electrons to the graphite and is converted to a neutral Cl atom with high probability, meanwhile a positive Cl2+ ion receives valence electrons from the graphite and is converted to a neutral Cl2 molecule with low probability[4].

To understand the quantum process of electron transfer and the difference of neutralization efficiencies between a negative ion and a positive ion, we have investigated the behavior of each time-evolving electron wave function. We have found that some electrons that existed in the orbital of Cl- before the collision were mainly transferred after the collision to some orbitals of graphite whose orbital energies were close, namely, resonant transitions whose transition rates are relatively high. Meanwhile, some electrons that existed in the orbitals of graphite before collision of Cl2+ were transferred after the collision to the orbital of Cl2+ whose orbital energies were not close, namely, Aujer like complicated transitions, whose transition rates are relatively low.

In this talk, we will show the time-evolution of wave functions based on the First pinciples Quantum Mechinics, which simulated the neutralization processes that occured in the neutral beam etching system.

[1] N. Watanabe and M. Tsukada, Phys. Rev. E. 65 036705 (2002).

[2] http://www.mizuho-ir.co.jp/solution/research/semiconductor/nano/meso

[3] S. Samukawa et al., Jpn. J. Appl. Phys., 40, L779 (2001).

[4] T. Kubota, N. Watanabe, et al., J. Phys. D. 44 125203 (2011)

9:20 AM PS-ThM-5 Energy and Angular Distribution Analysis for Neutral Beam and Application for Etching Simulation
Shingo Ohtsuka, Naoki Watanabe, Takuya Iwasaki, Kohei Ono (Mizuho Information & Research Institute, Inc., Japan); Yasuro Iriye, Osamu Nukaga, Shinji Ueki (BEANS Project 3D BEANS Center, Japan); Tomohiro Kubota (Tohoku University, Japan); Masakazu Sugiyama (University of Tokyo, Japan); Seiji Samukawa (Tohoku University, Japan)

The neutral-beam etching system developed by Samukawa et al [1] has a carbon plate which has numerous apertures, where positive or negative ions pass through. In this system, most of those ions passing through the apertures are efficiently converted into neutral atoms. We consider ions are neutralized by the collision with aperture sidewall. A negative ion transfers some of their valence electrons to the aperture sidewall by the collision, and a positive ion receives some valence electrons from the aperture sidewall. The dynamical process of electron transfer by the collision can be described by the Quantum Mechanics as a time-evolution of wave-function during the collision, and we have developed computational simulation software named QuickQD [2].

To realize more practical neutral-beam etching system, we also have to analyze the energy and angular distribution passing through the aperture. Those distributions characterize the ability of etching system. In other words, to achieve efficient etching system, we have to develop optimized aperture configurations (i.e. arrangement and aspect ratio of apertures) and get conditions for suitable energy and angular distribution of neutral beam.

In this study, we calculate the trajectory of particles generated by Monte-Carlo method, and analyze the energy and angular distribution of neutral beam at aperture outlet. To analyze energy and angular distribution at outlet, we have to determine the initial conditions of incident beam at aperture inlet, and have to describe the scattering process for collision between incident particles and side wall of aperture. We determine initial beam condition at inlet using the experimental data fitting. And we use the inelastic surface scattering model known as Hard-Cube model [3] for treating collision between particles and side wall of aperture. Particles injecting into aperture which have broad angular distribution are collimated by this inelastic scattering process.

Using above simulation scheme, we analyze the energy and angular distribution for specific aperture configuration (i.e. aperture aspect ratio) and compare with experimental data. We also analyze the particle distribution of neutral beam at silicon wafer injecting from aperture outlet and discuss the uniformity of neutral beam etching. Using above angular distribution of neutral beam and etching simulator, we predict etching shape by neutral beam generated by various aperture configurations (i.e. aperture aspect ratios).

[1] S. Samukawa et al., Jpn. J. Appl. Phys., 40, L779 (2001).

[2] N. Watanabe and M. Tsukada, Phys. Rev. E. 65 036705 (2002).

[3] R. M. Logan and R. E. Stickney, J. Chem. Phys., 44, 195 (1966).

9:40 AM PS-ThM-6 High-Aspect-Ratio Silicon Etching using Large-Diameter Neutral Beam Source
Tomohiro Kubota (Tohoku University and BEANS Project, Japan); Akira Wada (Tohoku University, Japan); Shingo Ohtsuka, Kohei Ono (Mizuho Information & Research Institute, Inc., Japan); Hiroto Ohtake (Tohoku University, Japan); Shinji Ueki, Yuki Nishimori (BEANS Project, Japan); Gen Hashiguchi (Shizuoka University and BEANS Project, Japan); Seiji Samukawa (Tohoku University, Japan)

Plasma etching is widely used for fabricating semiconductor electronic devices and microelectromechanical systems (MEMS), but plasma etching is known to cause damages due to the charge-up and UV irradiation. To overcome plasma-induced damages, we have developed a neutral beam source with very high neutralization efficiency. The neutral beam source achieved high neutralization efficiency by using negative ions from pulse-time modulation plasma, and UV irradiation was drastically reduced. To apply this neutral beam source to mass production, we have developed a large-diameter neutral beam (NB) source by using an 8-inch-diameter inductively coupled plasma etcher (Panasonic Factory Solutions Co., Ltd. E620) as an ion source. In the previous study, the beam flux of argon NB was more than 1 mA/cm2 in equivalent current density and the neutralization efficiency was more than 99%. Vertical Si etching using F2 gas chemistry was also achieved. Also, beam was successfully accelerated by bias applied to the aperture plate [1].

In this study we investigated high-aspect-ratio silicon etching. At first, angular distribution of NB was measured. As a result, Gauss-function-like distribution was observed and the width of the distribution was determined by aspect ratio of the aperture. On the other hands, angular distribution of incoming ions from plasma to the aperture was almost uniform. Also, plasma parameters such as source power and gas flow rate did not affect the distribution. From these results, it is supposed that aperture plays a dominating role in generation of collimated NB. Then, silicon etching by chlorine (Cl2) NB was performed using apertures with aspect ratio of 10 and 20. By using the aperture with aspect ratio of 10, almost vertical sidewall with a slight positive taper of 6 degree was achieved. The aperture with aspect ratio of 20 led to almost vertical etching. Silicon trench etching with aspect ratio of about 22 was achieved using the aperture and chlorine NB.

A part of this work was supported by the New Energy and Industrial Technology Development Organization (NEDO). This work is partly supported by Formation of Innovation Center for Fusion of Advanced Technologies, Special Coordination Funds for Promoting Science and Technology, Ministry of Education, Culture, Sports, Science and Technology.

[1] T. Kubota et al., J. Vac. Sci. Technol. A 28(5), 1169 (2010).

10:00 AM BREAK - Complimentary Coffee in Exhibit Hall
10:40 AM PS-ThM-9 Improvement in the Evaluation Technique for Plasma-Etch Si Damage using Photoreflectance Spectroscopy with Temperature Control
Asahiko Matsuda, Yoshinori Nakakubo, Yoshinori Takao, Koji Eriguchi, Kouichi Ono (Kyoto University, Japan)

In etching processes during MOSFET fabrication, bombardment of high-energy ions from plasma creates damaged structures in layers near the substrate surface, characterized by defect sites in the crystalline structure such as vacancies, interstitials, displacements, and dangling bonds. The negative effects of the damage on device characteristics (such as drain current degradation) have become increasingly significant as devices scale down rapidly [1]. To realize low-damage process, an advanced damage evaluation technique is essential. Photoreflectance spectroscopy (PRS) is an optical technique capable of detecting damage with high sensitivity. The surface is perturbed with an electric field by a modulation laser beam, and the reflectivity of a probe beam is measured. PRS has been studied as an advanced technique for contactless damage evaluation.

In this study, we employed an improved technique, where the temperature of the sample is controlled with liquid N2 during PRS measurement. N-type Si (100) wafers were exposed to an inductively coupled plasma using argon gas, under various rf-bias powers to control the incident ion energies (Ei). At room temperature, the amplitude of the spectral peak decreased with the increase in Ei. This feature is explained in earlier literature that carriers trapped at defect sites lower the surface potential (Vs), resulting in a decrease of the amplitude [2]. Over Ei ≈ 400 eV, the peak became smaller than background fluctuation, rendering quantitative characterization impossible. When the sample was cooled to 90 K, the spectra were enhanced and the peak of the high-Ei sample was revealed. By fitting the spectra to a functional form [3] and modeling the parameters’ temperature dependences, we found that the amplitude enhancement is related to the temperature dependence of the spectral broadening parameter (Γ). We also found that, at a fixed temperature, Γ for damaged surfaces were larger than that of the control sample. These results show that the damage causes changes in Vs and Γ, but by controlling the sample temperature, we were able to lower Γ, which increased the amplitude and revealed the peak.

The PRS-based damage evaluation technique discussed here expands the range of the applicable plasma damage conditions. Furthermore, temperature dependences of the spectra and its parameters (e.g. Γ and/or optical band gap) give us an insight into the band structures of damaged Si. This PRS-based technique is expected to be potentially useful as a future in-situ monitoring technique.

[1] K. Eriguchi et al., IEDM Tech. Dig., 2008, p. 436

[2] H. Wada et al., J. Appl. Phys. 88, 2336 (2000)

[3] D. E. Aspnes, Surf. Sci. 37, 418 (1973)

11:00 AM PS-ThM-10 The Mechanism of Thin SiO2 and GeO2 Film Formation during Low-Temperature Neutral Beam Oxidation Process
Akira Wada (Tohoku University, Japan); Kazuhiko Endo, Meishoku Masahara (AIST, Japan); Seiji Samukawa (Tohoku University, Japan)

The thermal oxidation process is usually used to form the gate dielectric films of MOSFETs. However, it involves high temperatures (usually > 800°C), which causes problems. For example, after using the high-temperature oxidation process to form SiO2 films, residual compression stress between the Si substrate and SiO2 remains due to the difference in the thermal expansion coefficient between Si and SiO2. This residual stress degrades the sub-threshold characteristics of MOSFETs because it increases interfacial state density. Especially, in case of future 3-dimensional transistors such as FinFETs, stress concentration occurs at corner and edge of 3D structures, which may cause drastic increase of leakage current. There have been recent active investigations on the thermal oxidation of Ge to enable the fabrication of high-mobility Ge MOS transistors. After high-temperature thermal processes, however, Ge oxide has poor thermal stability and a large amount of suboxide.

We developed an alternative oxidation process to solve these problems using a low temperature neutral beam (NB) technique to form the gate dielectric film. In this study, we investigated the mechanism to form thin oxide films using a low-temperature neutral beam oxidation (NBO) process. Arrhenius plot has shown that activation energy of NBO was extremely low, which enabled low-temperature oxidation at 300°C or even at room temperature. This should be because bombardment energy of oxygen beam assisted the oxidation reaction. Also, we investigated that the suboxide in thin oxide film using NBO process. As a result, there was little suboxide at the interface between oxide films and semiconductor using NBO process even at low-temperature. These results demonstrate the outstanding potential of the low-temperature NBO process for fabricating gate dielectric films.

11:20 AM PS-ThM-11 Room Temperature Radical Annealing of Plasma Damaged Gallium Nitride
Shang Chen, Yi Lu, Keigo Takeda, Kenji Ishikawa, Hiroki Kondo (Nagoya University, Japan); Hiroyuki Kano (NU Eco-engineering Co., Ltd, Japan); Hiroshi Amano (Nagoya University, Japan); Yutaka Tokuda (Aichi Insititute of Technology, Japan); Takashi Egawa (Nagoya Institute of Technology, Japan); Makoto Sekine, Masaru Hori (Nagoya University, Japan)
This paper reports an attempt of low-temperature recovery for gallium nitride (GaN) damaged by the exposure of a chlorine-based etching plasma. The work is motivated by the challenge for manufacturing highly-reliable GaN based devices which have a great deal of potential in optical, high-frequency, and high-power electronics field. So far, high temperature annealing or nitrogen plasma were used as the post-process. However, the high-temperature treatment could not restore the reduced atomic ratio of nitrogen on the damaged surface. The nitrogen plasma introduces damage by the ion bombardment. In this study, a high-density radical anneling in room temperature was newly proposed and evaluated in all in-situ experimental system consisted of etching, radical annealing, and surface analysis.
 
Radicals and ions extracted from a chlorine plasma were irradiated to n-GaN with an ion dose of 5 x 1016cm-2 at 500 eV [1]. Then the radical annealing using nitrogen radical (N*) or hydrogen radical (H*) with a radical dose of 1 x 1018cm-2was applied using a high-density radical source [2] at room temperature. The X-ray photoelectron spectroscopy (XPS) and atomic force microscopy (AFM) evaluation carried out after each process.
 
In N* annealing case, the surface chloride was removed and N/Ga was improved, but there was no change in the composition ratio of oxygen (O). On the other hand, hydrogen radical (H*) could efficiently remove O, and N/Ga was improved greatly. Other characteristic findings were that Ga-Ga (metallic Ga) was observed in the Ga 3d spectra and the surface roughness increased from 0.335 to 0.646 nm rms.
 
As a result, the radical annealing at room temperature was shown to be very effective for improving GaN stoichiometry to achieve a better interface, whereas the condition should be optimized very carefully.
 
Acknowledgments
This work was supported by the knowledge Cluster Initiative (the Second Stage), the MEXT, Japan.
 
[1] S. Chen, et al., Proc. 63rd GEC/7th ICRP (Paris, 2010), BT1-005.
[2] S. Chen, et al., Jpn. J. Appl. Phys. 50, 01AE03 (2011).
 
 
 
 
 
11:40 AM PS-ThM-12 Effect of Rapid Thermal Annealing on Si Surface Damage by HBr/O2- and H2-Plasma
Yoshinori Nakakubo, Asahiko Matsuda (Kyoto University, Japan); Masanaga Fukasawa (Sony Corporation, Japan); Yoshinori Takao (Kyoto University, Japan); Tetsuya Tatsumi (Sony Corporation, Japan); Koji Eriguchi, Kouichi Ono (Kyoto University, Japan)

Plasma-induced Si substrate damage has become one of the critical issues in advanced MOSFETs with shallower junction in source/drain extension (SDE) regions, since the damaged layer thickness will be in conflict with the device design margin (e.g. ~ 5 nm in 32-nm technology node). This damage causes the device performance degradation by forming Si loss (Si recess structure) [1]. Ohchi et al. have reported that the damaged layer by hydrogen-containing plasma is thicker than that by plasma without hydrogen, resulting in deeper Si recess [2]. Eliminating the damaged layer by wet etch and reconstructing the crystalline structure by thermal processes are strongly required, but there have been few studies on these issues. In this study, we report the effect of rapid thermal annealing on the damaged layer by HBr/O2- and H2- plasmas.

P-type silicon substrates with thermal-oxide layer (2 nm) were exposed to the capacitively coupled plasma (CCP) by applying a dual bias frequency (60/13.56 MHz). HBr/O2 and H2 gases were used. Damaged samples were cleaned by the dilute-HF solution (DHF) for 2 min before rapid thermal annealing (RTA) at 1035 °C for 10 s in a N2 gas ambient. The structure and the electrical conductivity were identified using spectroscopic ellipsometry (SE) and current-voltage (I-V) measurement, respectively. Capacitance-voltage (C-V) method was performed to analyze the features of the defect site in the damage samples.

Although all the damaged samples after RTA had a thicker oxide layer (~ 1.4 nm) compared with that of the native oxide layer (~ 0.6 nm) grown on the Si surface treated by SC2, a much higher current through the thick oxide (~ 20 mA at -0.1 V) was observed in comparison with that through the native oxide (~ 0.7 mA at -0.1 V). This feature is due to the nitrided Si that may be assigned by SE. This nitirided layer was found to be difficult to remove (etch) even by the DHF-treatment of several minutes, and thus the damaged MOSFETs may suffer from performance degradation by the presence of the nitrided layer. These findings imply that in the case of H-plasma, the process recipe for RTA targeted to cure the plasma-induced Si damage should be carefully optimized.

[1] K. Eriguchi et al.: IEEE Electron Dev. Lett. 30 (2009) 712.

[2] T. Ohchi et al.: Jpn. J. Appl. Phys. 47 (2008) 5324.

Time Period ThM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2011 Schedule