AVS2010 Session PS2-WeA: Neutral Beam Processing

Wednesday, October 20, 2010 2:00 PM in Room Galisteo

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule

Start Invited? Item
2:00 PM PS2-WeA-1 Possible Applications of Neutral Beam Generated by Low Angle Reflection of a Reactive Ion Beam to Nanoscale Semiconductor Processing
Geun Young Yeom (Sungkyunkwan University, Republic of Korea)

Reactive ion etching (RIE) is one of the key technologies in the fabrication of deep submicrometre silicon-based integrated circuits. However, conventional RIE techniques can have serious disadvantages for future device fabrication due to charged particles and UV photons causing increased gate oxide breakdown, charging, etc. It is believed that these problems will become more serious as the critical dimensions of the device shrink to a few nanometres size. Therefore, there is a need to develop novel semiconductor processing equipment and processing techniques that can cope with the issues caused by the integration of future semiconductor devices and the decrease in the design rule to the sub-nano scale. One of the methods being investigated by many researchers is etching using a reactive neutral beam.

This presentation introduces a neutral beam generated by surface neutralization of an ion beam using a low angle forward reflection technique and explains its possible application to various areas such as surface treatment and etching. When a metal-oxide-semiconductor device was etched using a reactive neutral beam instead of conventional reactive ion etching, it was confirmed that charge-related problems such as aspect-ratio-dependent etching and gate oxide charging could be removed. Neutral beams can be beneficial to the fabrication of other devices such as the III-V devices, SOI devices, etc
2:40 PM PS2-WeA-3 Numerical Simulation of Neutral Beam Generation by Quantum Electrons Dynamics
Naoki Watanabe, Shingo Ohtsuka, Takuya Iwasaki, Kohei Ono (Mizuho Information & Research Institute, Inc., Japan); Yasuro Iriye, Osamu Nukaga, Shinji Ueki, Tomohiro Kubota, Masakazu Sugiyama (BEANS Project 3D BEANS Center and University of Tokyo, Japan); Seiji Samukawa (BEANS Project 3D BEANS Center and Tohoku University, Japan)

We have developed numerical simulation method which analyses neutral beam generated by collisions with aperture sidewalls.

The neutral-beam etching system developed by Samukawa, et al [1] has a carbon plate which has numerous apertures, where positive or negative ions pass through. In this system, we had found experimentally that most of those ions passing through the apertures are efficiently converted into neutral atoms with maintaining the motion energy. We consider ions are neutralized by the collision with aperture sidewall, namely, a negative ion transfers some of their valence electrons to the aperture sidewall by the collision, on the other hand, a positive ion receives some valence electrons from the aperture sidewall.

To realize more efficient neutral-beam etching system, we have to understand the dynamical process of electron transfer by the collision. The process of electron transfers can be described by the Quantum Mechanics as a time-evolution of wavefunction during the collision. For this purpose, we have developed computational simulation software named QuickQD[2,3] which can calculate the time-evolution of wavefunction of electrons around both an ion and the carbon plate during the whole process of collision. QuickQD is based on the density functional theory, and it can calculate the time-evolution of wavefunctions stably and efficiently.

Our numerical model consists of an ion and several carbon atoms forming a graphite sheet. We have taken the following ions and neutral atoms as the colliding ion respectively; Cl-, Cl, Cl+, Cl2-, Cl2, and Cl2+.

We have performed the numerical simulation of the collision of each ion and the carbon sheet. QuickQD has calculated the time-evolution of several ten wavefunctions of both the ion and carbon atoms. During the time-evolution, the ion has been moved to collide with the graphite sheet and then bounce back to its original position. At the end of time-evolution, we have counted the electron distribution left around the ion, and have estimated the valence number of the ion after the collision. In this way, we have determined the probability of neutralization of each ion. We have obtained results which show a Cl- is converted into Cl with a high probability, meanwhile a Cl2+ is converted into a Cl2 with a low probability. These numerical results agree well with the experimental results. We have established a numerical method that analyzes the neutralization process based on the Quantum Electrons Dynamics.

[1] S. Samukawa et al., Jpn. J. Appl. Phys., 40, L779 (2001).

[2] N. Watanabe and M. Tsukada, Phys. Rev. E. 65 036705 (2002).

[3] http://www.mizuho-ir.co.jp/science/meso/index.html

3:00 PM PS2-WeA-4 Development of a Defect-Free GaAs/AlGaAs Heterostructure Etching Process Utilizing Chlorine and Argon Mixed Neutral Beam
Xuan-Yu Wang, Chi-Hsien Huang, Yuzo Ohno, Makoto Igarashi (Tohoku University, Japan); Akihiro Murayama (Hokkaido University, Japan); Seiji Samukawa (Tohoku University, Japan)

Dry etching is a key technique in top-down process for the fabrication of GaAs/AlGaAs nanometer-scale heterostructures such as quantum wire and quantum dot. For nanostructures, surface condition would affect the quantum-effect substantially. Therefore, it is important to suppress the effect of dry etching process by defect-free, atomically smooth etching profile, and control of etching selectivity. Plasma dry etching such as reactive ion etching process induces the etched defect since it has high energy ultraviolet (UV) photon irradiation and charged particles. The etched defect would reduce the optical and electrical properties of semiconductor materials. Alternatively, neutral beam has great potential for developing a defect-free dry etching process by its characteristics of completely suppressing UV photon irradiation and only generating the low energy neutral beam (below 100eV). In this work, we study on a GaAs/Al0.3Ga0.7As heterostructure etching process by neutral beam etching with chlorine and argon mixed etching gas. The effect of different mixed ratio of chlorine and argon has been investigated. The results shows when 100% chlorine neutral beam used, the etching grass formation was observed on etched surface. To increase the argon mixed ratio in etched gas, the grass was eliminated and the etched surface roughness was smoother. As the best we know until now, when the 22% chlorine and 78% argon of mixed etched gas used, both the root-mean-square (rms) etched surface roughnesses of GaAs and Al0.3Ga0.7As are about 0.6 nm (the rms surface roughness of as-received sample is about 0.4nm). Meanwhile, the etching rate of GaAs and Al0.3Ga0.7As are 16 nm/min and 17 nm/min, respectively. It means the etching selectivity of GaAs/Al0.3Ga0.7As is about 0.94. Additionally, to verify the defect-free property of neutral beam etching, the cross-section of etched GaAs etched profile was analyzed by transmission electron microscopy. The result shows that no crystalline defect can be observed at the top region of etched surface. In summary, we developed a promising dry etching process for nanometer-scale GaAs/Al0.3Ga0.7As heterostructure with defect-free, etching selectivity of GaAs/Al0.3Ga0.7As closes to 1, and atomically smooth etching surface roughness.

3:20 PM BREAK
4:00 PM PS2-WeA-7 Development of Sputter and CVD using the Hyperthermal Neutral Beam
Bong Ju Lee, Sung Woong Choi, Dae Chul Kim, Jong Sik Kim, Kyung Sook Oh, Suk Jae Yoo (National Fusion Research Institute, Republic of Korea); Jin-Nyoung Jang, You Jong Lee, Moon Pyo Hong (Korea University, Republic of Korea); Young Choon Park (Handong Global University, Republic of Korea)
New deposition processes using Hyperthermal Neutral Beam, which has energy between 1 ~ 100 eV, have been developed for the damage-free deposition below 80 oC. Many studies concerning the plasma and heat damage problems during the deposition of transconductive oxide such as Indium Tin Oxide (ITO) on various organic materials which are vulnerable to those damages. This presentation discusses characteristics of ITO thin films deposited by brand-new plasma-damage - free sputtering process on cross-linked Poly 4-vinylphenol (PVP) layer at the room temperature using HNB. Nano-crystal (nc) Si TFT manufactured at low temperature (< 100 oC), namely CVD using HNB to satisfy the stability, Vth Shift, mobility and on/off ratio for OLED operation is also discussed. Very thin (< 5 nm) incubation layer for the transition from amorphous to crystal occurred while growing the nc-Si thin film on the glass was grown.
4:20 PM PS2-WeA-8 Silicon Etching using Large Diameter Neutral Beam Source
Tomohiro Kubota (BEANS Project 3D BEANS Center and University of Tokyo, Japan); Shinji Ueki (BEANS Project 3D BEANS Center, Japan); Osamu Nukaga, Masakazu Sugiyama (BEANS Project 3D BEANS Center and University of Tokyo, Japan); Hiroto Ohtake (Tohoku University, Japan); Seiji Samukawa (BEANS Project 3D BEANS Center and Tohoku University, Japan)
Plasma etching is widely used for fabricating semiconductor electronic devices, microelectomechanical systems (MEMS), but plasma etching is known to cause damages due to the charge-up and UV irradiation. To overcome plasma-induced damages, neutral beam is widely studied by several groups in the world. Samukawa proposed a neutral beam source using negative ions in plasma and carbon aperture plate for neutralization [S. Samukawa, K. Sakamoto, and K. Ichiki, Jpn. J. Appl. Phys., 40, L779 (2001)]. The neutral beam source achieved high neutralization efficiency by using negative ions from pulse-time modulation plasma, and UV irradiation was drastically reduced. To apply this neutral beam source to mass production, we developed a large-diameter neutral beam source by using an 8-inch-diameter inductively coupled plasma etcher (Panasonic Factory Solutions Co., Ltd. E620) as an ion source. We measured flux and energy of neutral particles, ions, and photons and it was revealed that an argon neutral beam flux of more than 1 mA/cm2 in the equivalent current density and a neutralization efficiency of more than 99%. Spatial uniformity of the neutral beam flux was within ±6%. Si etching with vertical profile using an F2-based neutral beam was achieved with a high etch rate of about 100 nm/min. The spatial uniformity of the etch rate was better than ±5%. The etch rate was increased by applying bias power to the neutralization aperture plate, demonstrating that an accelerated neutral beam was successful in operation. This neutral beam source will enable the usage of damage-free neutral beam processes for practical use in the near future. A part of this work was supported by the New Energy and Industrial Technology Development Organization (NEDO). This work is partly supported by Formation of Innovation Center for Fusion of Advanced Technologies, Special Coordination Funds for Promoting Science and Technology, Ministry of Education, Culture, Sports, Science and Technology.
4:40 PM PS2-WeA-9 Microwave Plasma Source for the High Flux Hyperthermal Neutral Beam
Hyun Jong You, Soo Wook Jang, Yong Ho Jung, Dae Woon Kim, Min Koo (National Fusion Research Institute, Republic of Korea); Young Choon Park, Jin Taek Kim (Handong Global University, Republic of Korea)
In order to incident the high flux of Hyperthermal Neutral Beam (HNB) on the substrate the high plasma density and the shortest distance between the reflector, which converts ions to neutrals, namely neutralizer, and the substrate are required. ECR plasma source can both requirements. This presentation shows the features of ECR plasmas due to the Lisitano antenna, the vertical slotted antenna (VSLAN), the incline slotted antenna (ISLAN), and the rectangular slotted antenna (RESLAN) for the scanning type CVD. The characteristics of HNB Cu sputter for the Cu seed layer for Cu interconnect line of semiconductor as an application of plasma source from Lisitano antenna and HNB Al sputter for the thin film deposition of Al2O3 as one from RESLAN are also presented.
5:00 PM PS2-WeA-10 Low Temperature, Lattice-plane-free, Anisotropic and Damage-free Oxidation by Neutral Beam Technology
Akira Wada (Tohoku University, Japan); Kazuhiko Endo, Meishoku Masahara, Satoshi Yamasaki (National Institute of Advanced Industrial Science and Technology (AIST), Japan); Seiji Samukawa (Tohoku University, Japan)

To fabricate a metal-oxide-semiconductor field-effect transistor (MOSFET) with a three-dimensional (3D) structure several problems arise in the conventional thermal oxidation process, such as high temperature, the dependence of the oxidation rate on the lattice plane of silicon (Si) and non-oriented oxidation process. After high temperature processing, compression stress remains in the SiO2 film due to the difference in thermal expansion coefficient between the Si substrate and SiO2 film. The compression results in defects in the SiO2 film, which leads to increased leakage current. Furthermore, in the case of gate oxidation in the fabrication of 3D MOSFETs, the oxide film on a 3D structure and substrate is not consistent due to the different oxidation rates resulting from different lattice planes between them, which cause a concentration of the electric field and dielectric breakdown. Moreover, conventional thermal oxidation (TO) process is non-oriented oxidation process which forms oxide film on whole Si surface, and hence, it is difficult to independently control the thickness of the dual-gate dielectric film such as a four-terminal FinFET. To overcome these problems, we propose low-temperature (< 300ºC) damage-free neutral beam oxidation (NBO) as an alternative oxidation process. We found that oxide film grown by NBO (NBO film) even at a low substrate temperature (300 ºC) exhibits performance characteristics of a gate dielectric film that are as high as that of thermal oxide film in terms of the relationship between equivalent oxide thickness (EOT) and leakage current. This is because beam-induced oxidation plays a dominant role in the formation of NBO films by bombardment with an oxygen neutral beam. Also, the oxidation rate of NBO is independent of the lattice plane of silicon, and the oxidation is anisotropic. Therefore, oxide film grown by NBO is advantageous in that it can be applied to a gate dielectric film for a 3D fin structure of MOSFET.

5:20 PM PS2-WeA-11 Structure-designable Method to form Super low-k SiOC Film by Neutral-Beam-Enhanced Chemical Vapour Deposition
Toru Sasaki, Shigeo Yasuhara (Tohoku University, Japan); Tsutomu Shimayama, Kunitoshi Tajima, Hisashi Yano, Shingo Kadomura, Masaki Yoshimaru, Noriaki Matsunaga (Semiconductor Technology Academic Research Center (STARC), Japan); Seiji Samukawa (Tohoku University, Japan)

To precisely control the dielectric constant and the structure of a low-k SiOC film, we have developed a neutral-beam-enhanced chemical vapour deposition (NBECVD) method. Using Ar NBECVD with precursors of methyl trimethoxy silane (MTMOS), dimethyl-dimethoxy-silane (DMDMOS), and dimethoxy-tetramethyl-disiloxine (DMOTMDS), we can precisely control the dielectric constant and the film modulus of low-k SiOC deposited on Si substrates because this method avoids precursor dissociation that results from electron collisions and UV photons in plasma. Optimizing the ratio between Si–O and Si–(CH3)x as well as the proportions of linear (two-dimensional SiOC), network and cage (three-dimensional SiOC) structures by changing the precursor and neutral beam energy, we obtained a k value of less than 1.9 and a reasonable modulus of more than 4GPa with an extremely water resistant and very thermally stable .

Additionally, we investigated the resistance of the NBECVD low-k SiOCH to plasma-irradiation damage in comparison with conventional plasma CVD (PECVD) techniques. We found that the durability of a low-k SiOCH film structure to plasma irradiation strongly depended on the kind of Si–O structure the film had. In particular, a linear Si–O structure was less affected by plasma exposure than were network/cage Si–O structures. In addition, this linear Si–O structure helped to reduce the number of methyl groups removed from the film by plasma irradiation, which preserved the dielectric constant. Since the NBECVD technique can generate a low-k SiOCH film with more linear Si–O structures than conventional plasma CVD, the NBECVD film has very strong plasma durability.

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2010 Schedule