AVS2009 Session TF-ThP: Aspects of Thin Films Poster Session

Thursday, November 12, 2009 6:00 PM in Room Hall 3

Thursday Evening

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2009 Schedule

TF-ThP-1 Ultra Thin Cermet Resistor Films Deposited by DC Magnetron Sputtering
Valery Felmetsger (Tegal Corporation)

Technological solutions for producing nanoscale cermet resistor films with sheet resistances above 1000 Ω /□ (Ohm per square) and low temperature coefficients of resistance (TCR) have been investigated. 2 to 40 nm thick films were sputter deposited from CrSi2-Cr-SiC targets by a dual cathode dc S-Gun magnetron. In addition to studying film resistance versus temperature using four point probe measurements, scanning electron microscopy and atomic force microscopy were also employed for analysis of the nanofilm structure features. This study has revealed that the cermet film TCR displays a significant increase when the deposited film thickness is reduced below 2.5 nm. An optimized sputter process consisting of wafer degassing, cermet film deposition at elevated temperature with rf substrate bias, and a double annealing in vacuum consisting of an in situ annealing following the film sputtering and an additional annealing following the exposure of the wafers to air has been found to be very effective for the film thermal stabilization and for fine tuning the film TCR. Cermet films with thicknesses in the range of 2.5 - 4 nm deposited using this process had sheet resistance ranging from 1800 to 1200 Ω /□ and TCR from – 50 ppm/˚C to near zero, respectively. A possible mechanism responsible for the high efficiency of annealing the cermet films in vacuum (after preliminary exposure the films to air) resulting in resistance stabilization and TCR reduction is discussed.

TF-ThP-2 Formation of DLC Films by Inert-gas Ion Beam Assist in a C10H8 Atmosphere
Shinichi Narita, Ichiro Takano (Kogakuin University, japan)

Diamond-like carbon (DLC) has the amorphous structure that is chiefly composed by graphite (sp2) and disordered graphite (sp3) state. Therefore mechanical property of DLC generally shows high hardness and low friction. DLC film has been prepared by various method of chemical vapor deposition (CVD) or physical vapor deposition (PVD) including the sputtering method. Commercial application of DLC has been already performed as engine parts of an automobile or surface coating of a hard disk.

In this study, DLC films were formed using Ar+ or He+ ion beam assist in a naphthalene (C10H8) atmosphere. C10H8 is aromatic hydrocarbon with two benzene rings of a solidly in normal temperature and pressure. In our previous study, toluene (C7H8) of aromatic hydrocarbon with one benzene ring was used at same experimental process. By using C10H8 as an atmosphere gas, the higher deposition rate is expected than C7H8 gas. The formation conditions of DLC were changed with ion beam accelerating voltage and current density. Current dependence was performed by ion beam current density of 10 to 70 μA/cm2 with a constant accelerating voltage of 5 kV, while voltage dependence was performed by 1 to 12 kV with a constant current of 10 μA/cm2.

The mechanical properties of hardness and friction coefficient were determined using the dynamic micro Knoop hardness tester and the pin-on-disk tribo-tester with a SUJ2 ball of a 1/4 inches diameter, respectively. The conditions of examination were fixed at a load of 0.98 N, a revolution speed of 135 rpm, a sliding diameter of 10 mm and a sliding distance of 10 m. Atomic concentration and structure of the films were investigated by X-ray photoelectron spectroscopy (XPS) and Raman spectroscopy, respectively.

The suitable property of Knoop hardness and friction coefficient were obtained by conditions of accelerating voltage of 5 kV with current density of 10 μA/cm2. Knoop hardness of the film showed 5.37 GPa using Ar+ ion beam irradiation, while the friction coefficient of the film showed 0.117 using He+ ion beam irradiation. It was clear that property of DLC film was changed by ion species. In the case of He+ ion beam irradiation, low friction property was shown at 5 to 7 kV with 10 μA/cm2, while high hardness property was obtained by Ar+ ion beam irradiation of 5 kV with 10 to 40 μA/cm2.

TF-ThP-3 Amorphous Carbon-Gold (a-C:Au) Thin Films
Zeuz Montiel, Sandra Rodil, Stephen Muhl (Instituto de Investigaciones en Materiales-Universidad Nacional Autonoma de Mexico); Luis Rodriguez (Instituto de Fisica-Universidad Nacional Autonoma de Mexico)

Amorphous carbon-Au (a-C:Au) composite thin films were synthesized by co-sputtering using a graphite target with an attached piece of pure gold. Under this configuration and depending on the deposition conditions and materials, it is possible to produce different structures; multilayers; nanocomposite thin films, or compounds. The aim of the work was to determine if by analysing the ellipsometric spectra of samples deposited on silicon substrates it would be possible to distinguish between the different structures. For this purpose, different deposition powers (40 to 130 W) were investigated, keeping the other deposition conditions. The film microstructure and composition were evaluated using X-ray diffraction (XRD) and Rutherford Backscattering (RBS).

As deposited films did not show any characteristic signal in the ellipsometric spectra related to the presence of gold, in agreement with the XRD results where no diffraction peaks were observed. Nevertheless, the RBS data showed that the gold concentration could be varied between 9 and 7 at% as the power increased. The samples were submitted to a thermal treatment in an Argon atmosphere at 600 °C, in order to promote the gold segregation. Ellipsometric spectra after the annealing clearly showed the absorption related to the interband transitions of gold (~ 2.5 eV). The spectra for each deposition power were modelled to obtain the variations in the optical properties of the films due to the gold incorporation, either as atomic inclusions (as-deposited samples) or particles (annealed samples) using Tauc-Lorentz models for the carbon matrix and the extended Drude-Lorentz dispersion model for the gold particles.

TF-ThP-4 Influence of Non-uniformity and Surface Morphology of Sputtering Deposited CdTe Thin Films in a Large-area on Energy Conversion Efficiency of Solar Cells
Ju-Sun Park, Chae-Hyun Lim, Seung-Han Ryu (Chosun University, Korea); Nam-Hoon Kim (Chonnam National University, Korea); Woo-Sun Lee (Chosun University, Korea)
The thin-film CdTe solar cell technology is theoritically well known that it can improve the conversion efficiency and production costs compared to the conventional silicon solar cell technology. Due to the optimal band gap energy (about 1.4eV) for solar energy absorption, high light absorption capability and low cost requirements for producing solar cells, CdTe has been widely researched as the suitable for the commercializ ation . In this study, the sputtering method, which can improve the cost-efficiency and mass production of solar cells, was employed to deposit the CdTe thin film on the large-area substrates with the various processing conditions such as gas pressure, power, and the distance to the target. The non-uniformity and surface morphology were analyzed using AFM and SEM in the large-areaed surface of CdTe thin-films deposited with the various processing conditions; likewise, the effects of the processing conditions on the non-uniformity and surface-morphology of the thin-film surface were compared. Also, the optical and electrical characteristics of CdTe thin films with the globally and locally difference in non-uniformity and surface morphology in a large-area were compared; thus, the effects of them on the efficiency of the sputter ing deposited thin film CdTe solar cells in a large-area were examined .
TF-ThP-5 Spatial Resistivity Distribution of Transparent Conducting Impurity-doped ZnO Thin Films Deposited on Substrates by DC Magnetron Sputtering
Jun-ichi Oda, Jun-ichi Nomoto, Manabu Konagai, Toshihiro Miyata, Tadatsugu Minami (Kanazawa Institute of Technology, Japan)
In this paper, we describe and discuss the relationship between two techniques developed for improving the spatial resistivity distribution of transparent conducting impurity-doped ZnO thin films deposited on glass substrates at 200oC by dc magnetron sputtering (dc-MS) with various sintered impurity-doped ZnO targets. One improvement method superimposes an rf component onto dc-MS. The other improvement method uses conventional dc-MS with a low resistivity target prepared under optimized conditions. It was found that decreasing the resistivity of the targets used improved the resistivity distribution in both Al- and Ga-doped ZnO (AZO and GZO) thin films deposited using dc-MS either with or without superimposing rf power. The use of rf-superimposing dc-MS with lower resistivity targets did not result in a sufficient improvement in spatial resistivity distribution over that found in impurity-doped ZnO thin films deposited by conventional dc-MS with the same targets. With rf-superimposed dc-MS, the resistivity distribution in thin films only improved when using targets with a resistivity higher than around 1X10-3 Ωcm. In addition, the sintered targets optimized for preparing transparent conducting AZO and GZO thin films with lower resistivity as well as more uniform resistivity distribution on the substrate surface were found to exhibit a tendency for lower resistivity than around 1X10-3 Ωcm. Consequently, the observed improvement of resistivity distribution on the substrate surface when using the two deposition techniques was achieved by suppressing the amount and/or activity of oxygen reaching the substrate surface during the deposition, resulting from a deposition using either a lower dc sputter voltage or a lower resistivity impurity-doped ZnO target with a lower oxygen content.
TF-ThP-6 In-situ Analyses on Negative Ions in the Sputtering Process to Deposit Al doped ZnO Films
Naoki Tsukamoto, Daisuke Watanabe (Aoyama Gakuin University, Japan); Norihiro Ito (Panasonic Electric Works Co., Ltd., Japan); Nobuto Oka, Yasushi Sato, Yuzo Shigesato (Aoyama Gakuin University, Japan)

Magnetron sputtering processes using ceramic oxide targets have been used to deposit transparent conductive Al doped ZnO films because of its advantages for large area uniform coatings with high packing density and strong adhesion. However, the degradations of electrical properties and crystallinity for ZnO films have similarly been observed at the positions opposite to the erosion track on the target. These are considered to be caused by the bombardment of high energy particles such as energetic Ar atoms (high energy neutrals) or negative oxygen ions. In this study, we tried to detect the flux and energy distributions of high energy negative ions during the dc magnetron sputtering using an AZO target and discussed the influence of high energy negative ion bombardments on the structure and electrical properties of the films.

High energy negative ions were analyzed using a quadrupole mass spectrometer combined with an electrostatic energy analyzer, which was positioned at the substrate position opposite to the AZO (Al2O3: 2.0 wt%) target. The sputtering power during the analyses was maintained at 50 W. The O2 flow ratio [O2 / (Ar+O2)] were controlled from 0 to 5 %. For the analysis of the flux of the negative ions at the different substrate locations, the sputtering target was perpendicularly moved to the quadrupole mass spectrometer. In order to control the cathode voltage, the magnetic field strength was selected as 0.025, 0.06 and 0.1 T. In order to discuss the influence of the bombardments on the film properties, AZO films were deposited on unheated alkali-free glass substrate under the same condition of the fragment analysis. The atomic oxygen negative ion (O-) was observed as the high energy negative ions which possessed the energy corresponding to the cathode sheath voltage. The maximum flux of O- was observed at the location opposite to the erosion track on the target. The flux of O- decreased slightly with increasing O2 ratio. These results indicate that high energy negative ions were not formed by electron attachment in the cathode sheath region but should be sputtered from the target surface. Depending on the magnetic field strength, the cathode voltage varied from 337 V at 0.1 T to 403 V at 0.025 T. While the peak of O- shifted to lower energies with increasing the magnetic field strength, the flux of O- was hardly changed. The lower the energy of the peak of O- which AZO films is deposited at is, the lower both of resistivity and crystallinity for AZO films which are deposited at the positions opposite to the erosion track on the target are.

TF-ThP-7 Properties of Si-DLC Thin Films Prepared by Ion Beam Assist in a C12H26 Atmosphere
Tomoyuki Hara, Ichiro Takano (Kogakuin University, Japan)

DLC (Diamond-like Carbon) classified in new materials is amorphous carbon including hydrogen and has the similar property to diamond. DLC film was formed by the ion beam evaporation method in the early 1970's and after that has been manufactured by various methods such as CVD (Chemical Vapor Deposition) or PVD (Physical Vapor Deposition). Because the representative mechanical property of DLC shows the high hardness and low friction coefficient, DLC is applied in various fie lds such as motor parts or tools. Also the electric property of DLC is anticipated as material of a field emission source.

In this study, mechanical properties were investigated about Si- doped DLC thin films prepared by using ion beam and electron beam evaporation in a C12H26 atmosphere . The formation of DLC thin films was performed by assist of He+ ion-beam irradiation in a C12H26 atmosphere and doping of Si into DLC was performed by electron beam evaporation. In this experiment, Si concentration in DLC was changed by control of an electron-beam evaporation source, while He+ ion beam was irradiated at a constant accelerating voltage of 5 kV with a current density of 20 μA/cm2. Film composition and microstructure were investigated by X-ray photoelectron spectroscopy and Raman spectroscopy. The hardness was measured from an indentation method with a Knoop indenter. The friction coefficient was measured for an SUJ2 ball with a constant load of 0.98 N until the sliding distance reached to a length of 100 m.

The improvement of mechanical properties for Si-doped DLC thin films was exhibited in this experiment. Knoop hardness of the DLC thin film with Si concentration of about 40 percent showed 8.2 GPa. Friction coefficient of the DLC thin film with Si concentration of about 24 percent showed 0.107 at sliding distance of 100 m. Each property corresponds to increase of about 46 percent in hardness and decrease of about 74 percent in friction coefficient as compared with un-doping DLC thin film prepared with an accelerating voltage of 5 kV at a current density of 20 μA/cm2.

TF-ThP-8 Influence of Microstructure and Surface Morphology on Photofunctional Properties of Titanium Dioxide Film Prepared by Reactive Magnetron Sputtering
Keita Tanaka, Ichiro Takano (Kogakuin University, Japan)

Since the photoinduced decomposition of water on TiO2 electrodes was discovered, semiconductor based on photocatalyst has attracted extensive interest. TiO2 is anticipated as one of materials which are alternative for existing solar cell technology based on silicon. TiO2 shows relatively high reactivity and chemical stability under UV light whose energy exceeds the band gap of 3.2 eV in the anatase crystalline phase. The sun as an energy source can provide an abundant photons, however, UV energy in the sunlight accounts for only a small fraction (~5%) compared to the visible region (45%). Many techniques have been examined to achieve the purpose, i.e. harness of the visible light. Improvement of TiO2 has been performed by doping transition metals or anionic species, but these doped materials induce thermal instability and an increased number of carrier recombination centers. On the other hand, the relationship between structure and photofunctional properties of TiO2 has some unclear points. Different TiO2 structures can be obtained in the reactive magnetron sputtering method by control of O2 gas flow rate and formation temperature.

In this study, TiO2 was prepared by reactive magnetron sputtering using Ti target in an Ar/O2 gas mixture. Composition and microstructure of these TiO2 films were investigated by XPS and XRD, respectively. The surface morphology of TiO2 was observed by AFM. Chromatic change of a methylene blue solution was applied as photofunctional property. Light irradiation to TiO2 in a methylene blue solution was carried out using a commercial sterilizing lamp as UV light and an artificial sunlight lamp (with UV filter) as visible light. Transmittance of a methylene blue solution was measured by a spectrophotometer.

As results of XRD, the crystal structure of TiO2 turned from a ruttile type into an anatase type by each increase of O2 gas flow rate and formation temperature (100~300℃). In addition formation temperature had a large effect on TiO2 surface morphology and roughness. The TiO2 film prepared with high formation temperature showed a smooth surface. In the case of 300℃ in formation temperature, the higher photofunctional property under irradiation of UV light was obtained at an anatase type (O2 gas flow rate of 2.5 sccm). In the case of visible light, lower photofunctional property was shown as compared with the case of UV light. However, photofunctional property showed the maximum value at a ruttile type (O2 gas flow rate of 1.0 sccm) in visible light. The mutual relationship between the photofunctional property and the formation condition was dependent on not only the film structure but also the surface morphology.

TF-ThP-9 High Rate Deposition of SnO2-based Transparent Conductive Films by Reactive Sputtering with Impedance Control Method
Yu Muto, Yasutaka Nishi, Kento Hirohata, Naoki Tsukamoto, Nobuto Oka, Yasushi Sato (Aoyama Gakuin University, Japan); Yoshinori Iwabuchi, Hidefumi Kotsubo (Bridgestone Corporation, Japan); Yuzo Shigesato (Aoyama Gakuin University, Japan)
SnO2-based transparent conductive oxide (TCO) films have been used as transparent electrodes especially for solar batteries. Recently, because of the toxicity and shortage problems of In, SnO2 is considered to be one of the ITO-alternatives. Most of the TCO films have been deposited by magnetron sputtering using oxide ceramic targets in industry, however, the deposition rate is not so high and also the cost for the high quality ceramic targets is high in general. On the other hand, reactive sputtering using metal or alloy targets should be one of the most promising techniques to achieve much higher deposition rate for various industrial applications because sputtering yield of the metallic surface is much larger than the one of the oxide surface and also the higher sputtering power density can be applied for metallic targets because of their higher thermal conductivity. The reactive sputtering process is strongly affected by the O2 flow ratio; where the deposition rate exhibits hysteresis with respect to the O2 reactive gas flow rate. Such behavior originates in the oxidation state of the target surface, resulting in the marked decrease in deposition rate with the increasing O2 flow (transition region). Therefore, the sputtering conditions should be precisely controlled so as to obtain high-quality SnO2-based TCO films by reactive sputtering with a high deposition rate and with high reproducibility.

In this study SnO2 films doped with Sb or Ta (ATO or TTO, respectively) were deposited on unheated or heated glass substrates at 200oC by the reactive sputtering with Sb-Sn or Ta-Sn alloy targets using a plasma control unit (PCU) and mid-frequency (mf, 50kHz) pulsing. PCU feedback system (Fraunhofer Institut fur Elektronenstrahl-und Plasmatechnik, FEP) monitors the oxidation states of target surface by observing in cathode voltage (impedance control method) [1]. The mf pulsing possesses the approximate shape of a square wave which make it possible to reduce arcing on the target when high power density is applied. In the case of the ATO films deposition on heated substrate at 200oC in the “transition region”, the deposition rate was 280 nm/min where the lowest resistivity of the ATO films was 4.6×10-3 Ωcm and the optical transmittance was more than 80% in the visible region.

[1] M. Kon, Y. Shigesato, et. al, Jpn. J. Appl. Phys. 41, 814 (2002).

TF-ThP-10 Fabrication of Gallium Oxide Films using Ion-Beam Assisted Deposition
Takahisa Ichinohe (Tokyo National College of Technology, Japan); Masahiko Ohshima, Susumu Masaki, Takuya Kawasaki (TDY Inc., Japan); Mitsugu Obinata (TOHNIC Inc., Japan); Satoshi Takeda, Harumichi Hino (Nippon Light Metal Company, Ltd., Japan)
Gallium oxide (β-Ga2O3) has been known to be one of transparent conductive oxides, being tin doped. In this study, gallium oxide films were fabricated by ion-beam assisted deposition. Oxygen ions accelerated at 70-200 eV were employed during deposition. According to X-ray diffraction (XRD) analyses, the as-grown films being amorphous, polycrystalline β-Ga2O3 was formed after heat-treatment over 500°C in nitrogen gas ambient. The films assisted in high energy ions tend to show sharp XRD peaks after heat-treatment. The films containing SnO2 after heat-treatment at higher temperature (900°C) showed the same crystal structure although the peaks broaden. The ion-beam assisted films after heat-treatment showed high transparency from UV to near IR region.
TF-ThP-12 Ultra High Rate Depositions of Various Transparent Conductive Oxide Films of AZO, ITO and ATO by Reactive Magnetron Sputtering
Yasutaka Nishi, Kento Hirohata, Yu Muto, Yukari Kawase, Naoki Tsukamoto, Nobuto Oka, Yasushi Sato (Aoyama Gakuin University, Japan); Yoshinori Iwabuchi, Hidefumi Kotsubo (Bridgestone Co., Japan); Yuzo Shigesato (Aoyama Gakuin University, Japan)

Transparent conductive oxide (TCO) is a highly degenerated wide band-gap semiconductor with low electrical resistivity and high transparency in the visible and near-infrared regions. In this study we will report the very high rate deposition of various TCOs, such as Al-doped ZnO (AZO), Sn-doped In2O3 (ITO) or Sb-doped SnO2 (ATO) films by reactive sputtering using Zn-Al, In-Sn or Sn-Sb alloy targets, respectively.

In general the deposition rate for the sputtering using the oxide ceramic targets is not so high and also the cost for the high quality ceramic targets is high. On the other hand, reactive sputtering using the alloy targets should be one of the most promising techniques to achieve much higher deposition rate for various industrial applications because sputtering yield of the metallic surface is much larger than oxide surface and also the higher sputtering power density can be applied for metallic targets with the higher thermal conductivity. The reactive sputtering process, however, is strongly affected by the O2 flow ratio; the deposition rate exhibits hysteresis with respect to the O2 reactive gas flow rate. Such behavior originates in the oxidation state of the target surface, resulting in the marked decrease in deposition rate with the increasing O2 flow. Therefore, the sputtering conditions should be precisely controlled so as to obtain high-quality TCO films by reactive sputtering processes with a high deposition rate and with high reproducibility. In order for the precisely controlled deposition a specially designed feedback system (Fraunhofer Institut fur Elektronenstrahl-und Plasmatechnik, FEP) of discharge impedance or plasma emission intensity combined with mid-frequency (mf, 50 kHz) pulsing has been carried out [1-5] . Oxidation of the target surface was precisely controlled by these feedback systems in the “transition region”, where the deposition rate and the stoichiometry . The deposition rate was about 10-20 times higher than the one deposited by conventional sputtering depositions using oxide ceramic targets.

[1] M. Kon, Y. Shigesato, et al., Jpn. J. A ppl. Phys. 41, (2002) 814.

[2] M.Kon, Y. Shigesato, et al., Jpn.J. Appl. Phys., Vol.42, No.1, (2003) 263.

[3] S. Ohno, Y. Shigesato, et al., Jpn. J. Appl. Phys., Vol. 43, No.12 (2004) 8234.

[4] S. Ohno, Y. Shigesato, et al., Thin Solid Films 496 (2006) 126.

[5] S. Ohno, Y. Shigesato, et al., Science and Technology of Advanced Materials 7 (2006) 56.

TF-ThP-13 Electrical Resistivity Change of Al:ZnO Thin Films Dynamically Deposited by Bipolar Pulsed DC Sputtering with a Remote Plasma Source
Wonkyun Yang, Junghoon Joo (Kunsan National University, Republic of Korea)
Bipolar pulsed DC magnetron sputtering is used to deposit Al-doped ZnO (AZO) for a transparent conducting oxide in a solar cell structure. A 5-inch × 25-inch AZO target was sputtered by a bipolar pulsed DC power supply to deposit AZO thin films on a 400-mm × 400-mm glass substrate by swinging it back and forth over a cathode. Because of this dynamic deposition process, a zigzagged columnar structure observed. It decreased electrical resistivity. To recover the disadvantage, a remote plasma source (RPS) was used to give more mobility to adatoms, resulting in lowered resistivity. However, it increased from 2.11 × 10-3 W·cm to 2.30 × 10-3 W·cm as the power of remote plasma source was increased over some threshold value. By using RPS, the deposition rate decreased, but we expect that the resistivity becomes better because the additional ions may disturb the formation of a zigzag-type column structure. In this paper, we will address how the RPS affects the resistivity of the AZO thin films during the dynamic deposition process by plasma characterizations and microstructure analysis.
TF-ThP-14 Photo-functional Properties of TiO2/W-TiO2/TiO2 Films Prepared by Reactive Magnetron Sputtering
Haider Shukur, Kazuhiko Komiyama, Mitsunobu Sato, Ichiro Takano (Kogakuin University, Japan)

TiO2 as photo-functional material is one of lower cost material and harmless material to environment. It is expected to use as material of clean energy in future. Furthermore the photocatalytic property provides antibacterial or antifouling effect. These effects decompose environmental pollution matters (like nitrogen oxide etc.) by generate active oxygen (O2-, OH), when TiO2 is exposed to sunlight. On the other hand, TiO2 has characteristically electrical properties such as an n-type semiconductor or a dielectric. In order to improve the electrical and photocatalytic property of TiO2, many researchers have used various methods such as gas or metal doping into TiO2 techniques etc. In this study, tungsten (W) was doped to TiO2 thin film to improve the electrical properties and to enhance the photo-sensitivity of TiO2 thin film. The doping of W in TiO2 thin film generates tungsten oxide (WO3) and this oxide shifts the conduction band of TiO2 to the positive side because of the low band gap of WO3 (2.8eV) as compared with that of TiO2 (3.2eV). As a result, because the motion energy of each electron becomes smaller, excited electrons in a visible region (wavelength more than 400 nm) increase and promote the photocatalytic reaction in this region.

TiO2 thin films were prepared by using the reactive magnetron sputtering method on stainless steel substrate (SUS304) of 18×18 mm in size and also glass substrate of 18×9 mm in size. All samples were formed in four layers. The first one was a Ti layer with 50 nm in thickness. The second layer was a TiO2 layer of 170 nm. The third layer and surface layer were W-doped TiO2 of 30 nm and TiO2 of 10 - 60 nm, respectively. Other formation conditions were 1.1 sccm in O2 gas flow rate and 20 sccm in Ar gas flow rate. Ti and W sputtering rate were fixed at 0.025 nm/sec and 0.002nm/sec, respectively. The substrate temperature through this formation process was set at 200 oC.

The photocatalytic property was measured by a methylene blue immersion test. The difference in light absorbance at a wave length of 665 nm after light irradiation for 12 hours using sterilization, fluorescent and an artificial sunlight lamp (with UV band filter) was measured by a spectrophotometer (SHIMADZU UV-2550). Photoelectric current was measured by a cyclic volt ammeter system.

The photo-functional properties of W-doped TiO2 were improved by the additional TiO2 onto the W-doped TiO2 layer. Photocatalytic property showed a higher value under artificial sunlight irradiation when the surface layer thickness was 20 nm.

TF-ThP-15 Resistivity Characteristics of Transparent Conducting Impurity-doped ZnO Films for Use in Oxidizing Environments at High Temperatures
Jun-ichi Nomoto, Manabu Konagai, Toshihiro Miyata, Tadatsugu Minami (Kanazawa Institute of Technology, Japan)
For the purpose of determining the most suitable material to use as transparent electrodes in thin-film solar cells, this paper compares in detail the resistivity behavior of transparent conducting Al-doped ZnO (AZO ) and Ga-doped ZnO (GZO) thin films for use in oxidizing environments at high temperatures. These thin films with thicknesses in the range from approximately 100 to 3000 nm were prepared on glass substrates at a temperature of 200oC by either an rf superimposed dc or a conventional dc magnetron sputtering deposition (rf+dc-MSD or dc-MSD). The obtained resistivities in AZO and GZO thin films prepared by rf+dc-MSD were always lower than those in films prepared by dc-MSD. However, the obtainable resistivity of the thin films prepared by an rf+dc-MSD using lower resistivity targets was not decreased more sufficient than that of thin films prepared by a conventional dc-MSD with the same targets, whereas the obtained resistivity decreased as the resistivity of targets used was decreased. In moisture-resistant tests, resistivity change was measured over time with exposure to a highly moist environment (air at 85% relative humidity and 85oC). The resistivity stability of GZO thin films over long term testing was found to be always lower than that of AZO thin films prepared with the same thickness under the same deposition conditions. In heat-resistance tests, resistivity was measured both before and after heat treatment in an oxidizing atmosphere (30 min. in air at a temperature up to 400oC). The resistivity stability of AZO thin films during heat testing at 400oC was found to be always lower than that of GZO thin films prepared with the same thickness under the same deposition conditions. It was also found that the increase of resistivity observed in AZO films was related to decreases of both the carrier concentration and the Hall mobility, but, in contrast, that observed in GZO films was mainly attributable to a decrease of carrier concentration. The difference of resistivity behavior in the heat tests was mainly attributed to the micro-structural difference associated with the crystallinity of deposited films.
TF-ThP-16 Effect of DC bias on the Characteristics of Low Temperature Silicon-Nitride Films Deposited by Internal Linear Inductively Coupled Plasma Source
GwangHo Gweon, JongHyeuk Lim, SeungPyo Hong, Geun-Young Yeom (Sungkyunkwan University, Korea)

There has been a growing interest in the dielectric material that can be deposited at low substrate temperatures for the applications such as organic devices and flexible display devices. Of diverse dielectric materials, silicon-nitride film has been widely used for various important applications from semiconductor to flat panel display, such as a gate dielectric material for thin film transistor (TFT), passivation layers for diverse microelectronics and as anti-reflection (AR) coating for solar cell. In addition, due to their chemical inertness, excellent dielectric properties, and thermal stability compared with those of silicon oxide, many researchers have been studied to develop high quality low temperature silicon-nitride films using various types of plasma sources. Generally, conventional technique for depositing SiN at a low temperature is plasma-Enhanced CVD (PECVD) (~300℃).

In this study, we carried out the deposition of silicon nitride thin films at the temperature lower than 100℃ by using an internal linear ICP source. To obtain high quality silicon-nitride films, the effects of the ratio of NH3 to SiH4 and DC bias on the properties of thin film were investigated. The results showed that, by using 2:1 ratio of NH3:SiH, and by using -150V DC bias, the high quality silicon nitride film having the refractive index of 1.83, dielectric constant of 7.2 with negligible interface traps could be observed. The compositions, binding states, and the refractive indices of the films were measured using a XPS, FTIR, and an ellipsometer, respectively. In addition, metal/insulator/semiconductor (MIS) capacitors having Al/insulator/p-Si were fabricated and the flat-band voltage and hysteresis voltage were measured by the capacitance-voltage (C-V) method.

TF-ThP-17 Characterization of Structural Modification in Columnar Thin Films produced by Ion-Assisted Glancing Angle Deposition
Jason Sorge (University of Alberta, Canada); Michael Brett (NRC National Institute for Nanotechnology, Canada)

In a traditional glancing angle deposition (GLAD) process, the column tilt angle β and film density ρ are both governed by the deposition angle α. It was later discovered that β could be controlled independently from the deposition angle by implementing an appropriately designed phisweep substrate rotation algorithm which reduces anisotropic shadowing1. Ion-assisted deposition has also been demonstrated to alter columnar thin film morphology2 which has proven to be useful in humidity sensing applications3. The influence of the phisweep process on β is inherently limited to producing tilt angles that are less than or equal to that of a traditional GLAD film grown without phisweep. Ion assisted GLAD is a procedure that can increase β above the maximum achievable tilt angle in a standard GLAD deposition and has been utilized in square spiral photonic crystal fabrication4. The work reported here is a fundamental study of SiO2 columnar thin films grown with an ion-beam assisted GLAD process. Our current capabilities in modifying β as a function of α with an ion assisted process will be described. In addition, we report on our efforts to decouple the film density ρ from α; a previously unstudied characteristic of the ion assisted GLAD process. This latter result could enable partial decoupling of α, β and ρ simultaneously and allow access to previously unattainable columnar film morphologies, improving the versatility of the GLAD process. Discussion will focus on the effect of ion assistance on ρ and β as measured by variable angle spectroscopic ellipsometry (VASE) and the influence of different α. The relationship between these parameters, the natural column broadening and effects on the resulting in-plane birefringence will also be discussed.

[1] M. O. Jensen, and M. J. Brett, Appl. Phys. A, 80, 763 (2005)

[2] I. Hodgkinson, and Q.H. Wu, Mod. Phys. Lett. B, 15, 1328 (2001)

[3] M.T. Taschuk, J.B. Sorge, J.J. Steele, and M.J. Brett, IEEE Sensors Journal, 8, 1521 (2008)

[4] J.B. Sorge, M. A. Summers, M. D. Fleischauer, K. Tabunshchyk, A. Kovalenko, and M. J. Brett, Mat. Res. Soc. Sym. Proc., 1014E, 1014-AA07-26 (2007)

TF-ThP-18 Structural and Electrical Characterization of rf Magnetron Sputtered Aluminum Doped Zinc Oxide
Kyle Braam, Michael Kyslinger, James Doyle (Macalester College)

We present a study of the relationship between structural properties and opto-electronic quality of aluminum doped zinc oxide produced by rf magnetron sputtering. Thin films (300-400 nm thick) were deposited as a function of substrate temperature, working gas (argon) pressure, and oxygen partial pressure. Structural measurements included x-ray diffraction, scanning electron microscopy, Raman spectroscopy, and infrared spectroscopy. Electrical measurements included resistivity, Hall effect, and optical transmission. Substrate temperature had a strong effect on the crystalline quality of the films as inferred from xrd, infrared, and Raman measurements. Working gas pressure and oxygen partial pressure had a much weaker effect on the crystal structure. Good opto-electronic properties were not always correlated with good crystal quality. In particular good electronic quality films could be produced under deposition conditions that resulted in poor crystal quality. A simple model is presented that relates the crystal quality to the electronic properties accounting for dopant activation, grain boundary scattering, and ionized impurity scattering.

TF-ThP-20 Effect of Process Parameters on the Growth and Properties of ATO Films Prepared on Flexible Substrate at Room Temperature
SungUk Lee, Byungyou Hong (Sungkyunkwan University, Korea)
Transparent conducting oxide (TCO) have found applications in several optoelectronic devices such as light emitting diodes (LEDs), solar cells, and flat panels as well as flexible displays, due to their excellent electrical and optical properties. In general, of all TCO films, glass is the most commonly selected substrate. However, for future development in flexible devices, glass is limited by its intrinsic inflexibility, thickness and weight characteristics. The objective of this study was to characterize the electrical, structural and optical properties of antimony-doped tin oxide (ATO) films prepared on a polyethersulfone (PES) flexible substrate by the radio frequency (RF) magnetron sputtering method for applications to transparent electrodes using a SnO2 target mixed with Sb of 6 wt% at room temperature. The process parameters were working pressure and RF power. The working pressure was varied from 1 to 7 mTorr in steps of 2 mTorr, and the RF power was varied from 100 to 175 W in step of 25 W at room temperature. The thickness of the deposited ATO films was about 150 nm ± 10. X-ray diffraction (XRD) measurements showed ATO films to be crystallized with a strong (101) preferred orientation as the RF power increased. The spectra revealed that the deposited films were polycrystalline and they retained the tetragonal structure. The grain size was calculated from the XRD spectra using the Scherrer equation. The change in FWHM reflects the change in the grain size of the film, that is, the decrease in FWHM corresponds to the increase in grain size. ATO film deposited on PES substrate at optimized condition showed the lowest resistivity of 7.4 x10-3 ohm-cm and the optical transmittance was 85% in the visible range. The possibility as the next-generation transparent electrode is also studied.
TF-ThP-21 Development of a Transparent Barrier Layer for CdTe Thin Film Solar Cells Deposited on Flexible Foil Substrates
Deidra Hodges, Vasilios Palekis, Elias Stefanakos, Chris Ferekides (University of South Florida)

Cadmium telluride (CdTe) is a leading thin film photovoltaic (PV) material due to its near ideal band gap of 1.45 eV, its high optical absorption coefficient and availability of a various device fabrication methods. The status the thin film CdTe solar cell is more than 16.5% efficiency for devices on conducting glass substrates and 7.8% efficiency for devices on flexible metallic substrates. Thin stainless steel (SS) foils are used as the substrate for the development of CdTe solar cells because of its material properties, high temperature stability, commercial availability and cost. A potential problem with the use of a stainless steel foil as the substrate is the diffusion of iron (Fe), chromium (Cr) and other elemental impurities into the layers of the solar cell device structure during high temperature processing. A diffusion barrier limiting the out diffusion of these substrate elements is being investigated in this study. Silicon nitride (Si3N4) films deposited on SS foils are being investigated as the transparent barrier layer, to reduce or inhibit the diffusion of substrate impurities into the solar cell. Si3N4 coefficient of thermal expansion (CTE) of 4.5x10-6/°K is close to both the back contact layer Molybdenum, with a CTE of 5.1x10-6/°K and the absorber CdTe, with a CTE of 5.9x10-6/°K, minimizing thermal expansion mismatch in the device. It has already been shown by others, that substrate impurities like Fe and Cr in the cell’s absorber can lead to reduced cell efficiencies. In this study, the effect of the Si3N4 barrier layer is being evaluated for its effect on cell efficiency and overall device performance. The optimum Si3N4 barrier thickness is also being determined. Currently thin film CdTe cells are being fabricated with and without a Si3N4 barrier layer. Preliminary results show an improvement in the VOC of cells fabricated with a 0.1 µm thick Si3N4 barrier layer. The thin film CdTe solar cells have been characterized by XRD, SEM, Secondary Ion Mass Spectrometry (SIMS) depth profiles, current-voltage (I-V) characteristics and spectral response.

TF-ThP-22 Design and Fabrication of Optical Thin Films for Remote Sensing Instrument
Chien-Nan Hsiao, Hung-Pin Chen, Po-Kai Chiu, Wen-Hao Cho, Yu-Wei Lin (National Applied Research Laboratories, Taiwan); Din Ping Tsai (National Applied Research Laboratories and National Taiwan University)
Optical thin films of Ag mirror and band pass filters were design and deposited on radiation-resistance glass by ion beam assisted deposition for the use of optical payload in remote sensing instrument. Optical parameters were optimized by the admittance loci analysis to show that the mirror and filters can achieve average reflectance of 99 % in visible spectrum and average transmittances of 95 % in the spectral range of blue, green, red, NIR and pan chromatic, respectively. The corresponding properties of films were investigated by in-situ optical monitoring, spectrometer, ellipsometry and high resolution transmission electron microscopy (HRTEM). It was found that the average reflectance of Ag mirror(with protect coating) is above 98 %. The average transmittances are above 85 % for all the five band pass filters, with the rejection transmittance lower than 1% in the spectral range of 350~1100 nm. Furthermore, in order to determinate the optical stability of optical thin films for aerospace applications, space environmental test was simulated by using a Co60 gamma (g) radiation source (total dose of 35 krad and 1 Mrad). The optical stability of the films with the radiation test will be discussed.
TF-ThP-23 Effect of Vacuum Annealing on Charge Transport and Trapping in a-SiC:H/c-Si Heterostructures
Alexei Nazarov, I Tatarchuk, Yu Gomeniyuk, A Vasin, A Rusavskii, V Stepanov, V Lysenko (NASU, Ukraine); S. Ashok (The Pennsylvania State University)

a-Si1-XCX:H alloys are of interest in detectors and white light emitting devices, but their properties under thermal anneal have not been reported so far. This paper considers the processes of charge transfer and trapping in a-Si1-XCX:H films deposited on crystalline p-type Si wafers and annealed in vacuum (10-6 Torr) over temperature range of 300 to 850oC. The a-Si1-XCX:H films were deposited by reactive magnetron sputtering using of the Ar /CH4 as working gases. An Au/Ti multilayer was used as a contact to the a-Si1-XCX:H film and Al as back contact to the Si wafer. Raman scattering spectroscopy, photoluminescence (PL) spectroscopy and electron paramagnetic resonance (EPR) measurements were carried out at room temperature. Current-voltage (I-V) and capacitance-voltage characteristics were measured in the temperature range 100 - 350K.

Evaluation of the I-V characteristics of the initial structure and the structures annealed at 450 oC and 650oC demonstrates that maximum coefficient of rectification is observed for the heterostructure annealed at 450oC and equals 4x102 for ±5V. For this material the maximum optical band gap and minimum paramagnetic defect concentration are observed. The dielectric constant is found to be 6.5. After 650oC vacuum annealing the forward and reverse currents are higher than those of both the initial and 450oC- annealed structures, and are associated with amorphous carbon cluster formation observed by Raman scattering after such thermal annealing. Temperature dependence of forward current of the initial structure demonstrates that variable-range hopping (VRH) conductivity at the Fermi level is dominant up to 1V. The density of states at the Fermi level is estimated at ~8x1019 cm-3eV-1, that is on the order of the concentration of Si and C dangling bonds, determined by EPR technique. Increase of the forward voltage from 0.1V to 1.0V results in increase of average hopping distance from 4.2 nm to 7 nm. Annealing at 450oC results in change of current transport mechanism: now the forward current can be described by Pool-Frenkel emission from levels with energy ~ 0.11 eV. Annealing at 650oC considerably reduces the temperature dependence of current, testifying to the emergence of tunneling processes for charge movement. The process of VRH conductivity through a large density of state at Fermi level again appears, with an estimated density of the states around 5x1020 cm-3eV-1. Increase of applied voltage beyond |1V| results in a decrease in current with increase of temperature. It is surmised that the observed phenomenon is associated with charge trapping in local regions separated from main matrix by high potential barriers.

TF-ThP-24 Photoelectron Emission Properties and Work Function of Sn-doped In2O3 Films
Aiko Takasaki, Yasushi Sato, Nobuto Oka (Aoyama Gakuin University, Japan); Futoshi Utsuno, Koki Yano (Idemitsu Kosan Co., Ltd., Japan); Yuzo Shigesato (Aoyama Gakuin University, Japan)

Sn-doped In2O3 (ITO) film has been used as a transparent electrode for various applications including organic light-emitting diodes (OLEDs) since it combines good conductivity and transparency in the visible region. The work function control of ITO film plays an important role in device parameters such as operation voltage or lifetime for OLED. The work function of ITO film has been controlled by surface treatments by plasma or UV-ozone treatment. However in such methods the work function of ITO film is unstable and can change over time. On the other hand, it is expected that the work function could be controlled by a variation in carrier density. In this study, we investigate how the work function depends on the carrier density of ITO films. The ITO films with various carrier densities were deposited by dc magnetron sputtering on glass substrates heated at 300 oC and 400 oC using high-density ceramic ITO targets with various SnO2 concentrations. Total gas pressure and dc power were maintained at 1.0 Pa and 50 W, respectively, for all the depositions. The film thickness of all the ITO films was adjusted as about 200 nm. Carrier density was controlled from 3.06 ×1019‐5.72×1020 cm-3 or 4.42 ×1019‐1.08×1021 cm-3 for the films deposited on the substrates heated at 300 oC or 400 oC, respectively, by using the ceramic ITO targets with the different SnO2 concentrations from 0 to 10 wt. %. The increase in the carrier density should be caused by the increase in the substitutional Sn4+ at In3+ sites of In2O3. Optical band gap of the films increased with the increasing SnO2 concentration of the target, where work function decreased. This must be explained quantitatively in terms of the shift of Fermi level with varying carrier density within a parabolic conduction band. The optical band gap or the work function of the films showed clearly positive or negative relationships to the two-thirds power of carrier density, respectively. Furthermore, a detailed analysis was performed using hard X-ray photoemission spectroscopy (HX-PES) in order to investigate the electronic state between the Fermi level and the valence band of ITO films deposited on the substrates heated at 400 oC. As a result, the density of state near the Fermi level was found to vary systematically with the carrier density. The synchrotron radiation experiments were performed at the BL47XU in the SPring-8 with the approval of the Japan Synchrotron Radiation Research Institute (JASRI) (Proposal No.2009A1586).

TF-ThP-25 Enhanced Light-Emission Characteristics and Analyses of Electronic Band Structure of 2-TNATA / MoOx for an Efficient Hole-Injection in Organic Light-Emitting Diodes
JaeWook Kwon, JongTae Lim, Geun-Young Yeom (Sungkyunkwan University, Korea)

Recently, the studies on metal-organic interfaces are concentrated in the efficient charge carrier-injection between electrodes and an adjacent organic layer adjoining to electrodes. The efficient carrier-injection properties are very important for improving a luminace and luminous efficiency in the field of the information display such as the organic light-emitting diodes, organic solar cells, organic thin film transistor, and organic sensors, and organic smart window devices. Here, we reported on the new hole-only contact system of 2-TNATA / MoOx. The organic light-emitting diode with a glass / ITO (85 nm) / MoOx (5 nm) / 2-TNATA (30 nm) / NPB (18 nm) / Alq3 (52 nm) / LiF (1 nm)/Al (100 nm) structure showed higher luminous efficiency as two times than the device of the same structure with MoOx of 0 nm-thick. The improvement of the luminous efficiency by inserting a MoOx layer between tin-doped indium oxide (ITO) and 2-TNATA is attributed to the lowing of the barrier height in a hole injection (ΦBh) as well as the raising the band banding by pinning of Fermi level in the interfaces between two layers. The mechanism for a hole-injecting efficiency from anode to a MoOx / 2-TNATA layer was proved by analyzing an ultraviolet photoemission spectroscopy (UPS) spectra. ΦBh in the 2-TNATA / MoOx interface with MoOx of 20 nm-thick was decreased about 2.0 eV, when compared to ΦBh of the pure layer with only 2-TNATA.

Keywords: organic light-emitting diode, electronic structure, interface, MoOx, 2-TNATA

TF-ThP-26 Unusual Properties of InN Epilayers Probed by Photoluminescence
Fang-I Lai, Woei-Tyng Lin (Yuan-Ze University, Taiwan); Wei-Chun Chen, Chien-Nan Hsiao (National Applied Research Laboratories, Taiwan); Shou-Yi Kuo (Chang Gung University, Taiwan); Yu-Kai Liu, Ji-Lin Shen (Chung Yuan Christian University, Taiwan)

In this paper, we report the studies on the hetero-epitaxial growth of wurtzite indium nitride ( InN ) thin films on oxide buffer layer by plasma-assisted chemical beam epitaxy (CBE) system with different III/V ratios. Oxide buffer layer was pre-sputtered using RF sputtering technique before InN deposition. The structural and optical properties of InN films samples were investigated by x-ray diffraction (XRD), field-emission scanning electron microscopy (FE-SEM) and temperature-dependence photoluminescence (PL) measurements. The near-infrared emission peak values of samples were between 0.74 and 0.78 eV, which are higher than those pre-reported values explained by the Moss-Burstein effect. While increasing the III/V ratio, the emission PL peak red-shifted. In addition, the temperature-dependence PL spectra exhibit blue-shifted as the measurement temperature increased. We suggest that the blue shift in PL spectra with temperature may result from the variation in concentration of InN films.

TF-ThP-27 Thermophysical Properties of Alq3 and α-NPD Films Measured by Nanosecond Thermoreflectance Technique
Nobuto Oka, Kazuki Kato (Aoyama Gakuin University, Japan); Norihiro Ito (Panasonic Electric Works Co., Ltd., Japan); Takashi Yagi, Naoyuki Taketoshi, Tetsuya Baba (National Metrology Institute of Japan, AIST, Japan); Yasushi Sato, Yuzo Shigesato (Aoyama Gakuin University, Japan)

Organic light-emitting diodes (OLEDs) are promising for future lighting and display applications. It has been reported, however, that the electroluminescence properties are degraded by self-heating during operation [1,2]. In order to determine the heat propagation mechanism in OLEDs, it is important to measure the thermophysical properties precisely for the components of OLEDs, such as Tris-(8-hydroxyquinoline) aluminum (Alq3) and N,N'-Di(1-naphthyl)-N,N'-diphenylbenzidine (α-NPD) films. Alq3 and α-NPD are used as the electron-transport/emitting materials and the hole-transport material, respectively. In this study, thermal diffusivity of both the films was characterized quantitatively by ‘rear heating / front detection (RF) type’ nanosecond thermoreflectance systems [3] (NanoTR, PicoTherm), which can directly observe the heat propagation through the film thickness. Alq3 and α-NPD films sandwiched between aluminum films (Al/Alq3/Al, Al/α-NPD/Al) were prepared on alkali-free glass substrates by means of vacuum evaporation . The nominal thicknesses of Al, Alq3 and α-NPD layer were respectively 100 nm, 50-200 nm and 100 nm. The thermal diffusivity of Alq3 films was found to be 1.4-1.6×10-7 m2/s, which is about 1.5 times higher than that of Alq3 powder [4]. Furthermore, the thermal diffusivity of α-NPD films is 1.2×10-7 m2/s. We also estimated the mean free path of phonons, lph, in terms of phonon propagation in Alq3 films using the thermal conductivity calculated from the thermal diffusivity, heat capacity per unit volume, and the average phonon velocity calculated from Young’s modulus and the density [5]. As a result, lph was approximately 0.49 nm, which is smaller than molecular size and intermolecular distance for Alq3, but almost twice the Al-N bond length [6,7].

<Acknowledgment>

This work was supported by New Energy and Industrial Technology Development Organization (NEDO) as a project of "Development of High-efficiency Lighting Based on the Organic Light-emitting Mechanism".

[1] T. Sugiyama, H. Tsuji, Y. Furukawa, Chem.Phys.Lett. 453 238 (2008).

[2] G. Vamvounis, H. Aziz, N. Hu, Z. Popovic, Synthetic Metals 143 69 (2004).

[3] T. Baba, Proc. 10th International Workshop on Thermal Investigations of ICs and Systems, Sophia Antipolis, France 241 (2004).

[4] M. W. Shin, H. C. Lee, K. S. Kim, S. H. Lee, J. C. Kim, Thin solid Films 363 244 (2000).

[5] C. Kittel, Introduction to Solid State Physics, 7th ed., Wiley, New York (1996).

[6] H. S. Karlsson, K. Read, R. Haight, J. Vac. Sci. Technol. A 20(3) 762 (2002).

[7] A. Curioni, M. Boero, W. Andreoni, Chem. Phys. Lett. 294 263 (1998).

TF-ThP-28 Characterization of the Defects in Intrinsic Nanocrystalline Silicon Thin Films Deposited by an Internal-ICP
HyoungCheol Lee, InKyo Kim, Geun-Young Yeom (Sungkyunkwan University, Korea)

Nano-, microcrystalline hydrogenated silicon(nc-, μc-Si:H) attracts much interest as a promising material for thin film solar cells with high performance and good stability compared to amorphous silicon thin film. The deposition of nc-, μc-Si:H has been carried out by using various methods such as hot-wire chemical-vapor deposition (HWCVD), photo-chemical vapor deposition (P-CVD), plasma-enhanced chemical-vapor deposition (PECVD), etc.

During the deposition of nano- micro- crystalline hydrogenated silicon, the defects are generated and the defects in the nanocrystalline silicon thin films can degrade the efficiency of the solar cell. Defects located at deep-gap or tail states in the disordered silicon films are of great importance for the electronic quality of these materials and these states will influence the performance of the solar cells.

In this study, we have investigated the defects in the nancrystalline silicon thin film as a function of crystallization of the nanocrystalline silicon thin film. The nanocrystalline silicon thin film was deposited by using an internal-type inductively coupled plasma system. Electron spin resonance (ESR) is a useful tool for the investigation of defects in amorphous, nano-, and micro- crystalline hydrogenated silcon thin film. The nanocrystalline silicon thin films were deposited on corning 1737 glass. Raman scattering spectroscopy, high resolution transmission electron microscopy, (HRTEM) and electron spin resonance(ESR) were used to evaluate film crystallinity, structural image, and defects in the film, respectively.

TF-ThP-29 Damages to Fatigue and Retention Characteristics of BLT Capacitors Fabricated by Damascene Process with High-pressure Process
Woo-Sun Lee, Sang-Hun Shin (Chosun University, Korea); Nam-Hoon Kim (Chonnam National University, Korea)
Damascene process of chemical mechanical polishing (CMP) process was proposed to fabricate the BLT ferroelectric capacitor instead of plasma etching process for the vertical profile without plasma damage. However, the authors also reported in the previous study that the electrical damages to leakage current and P-V characteristics of BLT capacitor induced by high-pressure process of damascene process although the high-pressure process had shown the better removal rate (high yield) and the sufficient surface characteristics including non-uniformity for BLT-CMP [1,2]. BLT thin films deposited on Pt/Ti/SiO2/Si substrate is well known to have good fatigue endurance and retention characteristics; however, the high-pressure process in damascene process of BLT degraded the fatigue behavior and retention characteristics. Therefore, the CMP pressure was controlled in damascene process for BLT capacitor although the yield and the surface characteristics became somewhat lower. Fatigue behavior and retention characteristics of BLT capacitor were recovered with the good P-V and leakage current characteristics. [1] N.-H. Kim, et al., Thin Solid Films, Vol. 515, Iss. 16, p. 6456 (2007), [2] N.-H. Kim, et al., Electronics Letters, Vol. 44, Iss. 24, p. 1429 (2008).
TF-ThP-30 Surface Roughening of ZnO Films by Atomic Layer Deposition
Fan-Chun Hsieh, Meng-Yan Tsai, Chi-Chung Kei, Chih-Chieh Yu, Wen-Hao Cho, Chien-Ying Su, Chih-Sheng Yu, Da-Ren Liu, Chien-Nan Hsiao (National Applied Research Laboratories, Taiwan, Republic of China)
ZnO films were deposited by atomic layer deposition (ALD) on glass at 40oC. The films were studied by Atomic force microscope (AFM), X-ray diffraction (XRD), and contact angle measurements. The root mean square (RMS) roughness of ZnO increases with increasing the number of growth cycles. According to the XRD analysis, the crystalline phase becomes apparent when the growth cycle is increased. The contact angle decreases substantially at 400 cycles and the film reveals rough hydrophilic. The surface roughening of ZnO films can be turned by the growth cycle at this lower temperature due to the presence of ZnO nanocrystals.
TF-ThP-31 Glancing Angle Deposited Metallic Nano-Structured Thin Films for Surface Enhanced Fluorescence and Biosensing in Water
Chinmay Khare (Leibniz-Institut of Surface Modification, Germany); Alina Karabchevsky, Ibrahim Abdulhalim (Ben Gurion University of the Negev, Israel); Christian Patzig (Leibniz-Institut of Surface Modification, Germany); Bodo Fuhrmann (Martin-Luther-University Halle, Germany); Bernd Rauschenbach (Leibniz-Institut of Surface Modification, Germany)

Metallic nanophotonic structures demonstrate surfaced enhanced phenomena, thus find their application in device photonics. To facilitate the improvement in the already successful panoply of optical biosensors in general and in the field of water quality in particular, nano-photonic structures such as sculptured thin films (STF) can be used. The existence of localized surface plasmon resonance (LSPR) was observed within metallic STFs. The glancing angle deposition technique (GLAD) by ion beam sputtering and electron beam evaporation was employed to sculpt thin films as a platform for surface enhanced fluorescence (SEF). The self shadowing mechanism is responsible for the growth of non-closed films which consist of needles grown in the direction of the incoming flux of the particles. This thin film deposition method, coupled with an appropriate substrate rotation scheme, enabled to deposit nanorods with less than 30° and greater than 80° inclination with respect to the substrate surface. A multitude of structures were prepared by depositing materials like Ag, Au and Si with GLAD on different substrates such as fused silica, Si(100), Si(100) coated with 15 nm Ti, and on nanosphere lithography pre-patterned substrates that consist of Au and Al nanodots in hexagonal arrangement. The reference (compact) thin films of each material were prepared with the vapor incidence parallel to the substrate normal.

With the integration of a fluorescence microscope with a spectrometer, the green Hg line at 546 nm was used for excitation in most of the SEF experiments and the emission was detected using the red filter at 590 nm. STFs spin coated with a Rhodamine 123 layer of thickness (30-50) nm were observed to show enhancement factors up to few tens. A higher degree of surface enhancement was observed with Ag nanorod STFs inserted in an aqueous solution of E. coli in comparison to corresponding dense Ag reference film.

TF-ThP-33 Molecular Layer Deposition of Organic Films for EUV Photoresists
Han Zhou, Paul Loscutoff, Stacey Bent (Stanford University)

Lithography at the sub-22 nm length scale will require resist films under 50nm thick with a high degree of homogeneity. Current resists that are in use for ultraviolet lithography may not be suitable for the projected transition to extreme ultraviolet (EUV) wavelengths, leading to active study of alternative materials solutions. One method for gaining sub-nanometer control over the thickness and composition of photoresist film is molecular layer deposition (MLD), which utilizes a series of self-limiting reactions of organic molecules. In this study, a variety of nanoscale organic films were deposited by MLD via urea coupling chemistry, which occurs by reaction of isocyanates and amines. Films were deposited on substrates that were first prepared by vapor deposition of 3-aminopropyltriethoxysilane on hydroxylated SiO2 surfaces to yield an amine-terminated surface, as confirmed by ellipsometry and XPS. Following amine termination, the diisocyanate and diamine precursors were dosed in a binary cycle, and this cycling was repeated to yield the desired thickness of organic film. Ellipsometry indicates a linear growth rate of 4.5 Å/cycle for the standard coupling of phenylene diisocyanate (PDIC) and ethylenediamine (ED). The urea coupling moiety is confirmed by infrared spectroscopy, and films are shown to have stoichiometric composition by XPS. Temperature dependent measurements show that the films have good thermal stability. To fabricate EUV resists, we have explored a variety of backbones contained within the amine and isocyanate linking groups to tune the functions of the organic films. By changing the backbone of the MLD precursors, we have incorporated ketal-based acid-labile groups into the film and have shown that after incorporation of photoacid generator (PAG), UV exposure, post-exposure bake and development, the films are cleaved, leading to potential use as photoresists. Results of applying the nanoscale oligourea films for advanced photoresist application will be presented.

TF-ThP-34 Time-Resolved FTIR Spectroscopy During ALD
Brent Sperling, James Maslar, William Kimes, Donald Burgess, Jr., Elizabeth Moore (National Institute of Standards and Technology)

In situ monitoring of atomic layer deposition (ALD) could potentially make process optimization faster and more cost-efficient. Additionally, it permits computational models for chemistry and fluid dynamics to be tested and refined; these validated models, in turn, could also be useful tools for process development and equipment design. We have been developing real-time diagnostics for gas-phase concentrations using a number of methods including mass spectroscopy, distributed-feedback diode laser absorption spectroscopy, and quantum cascade laser absorption spectroscopy. This work focuses on our work with time-resolved Fourier transform infrared (FTIR) spectroscopy, which we use to monitor gas-phase species during ALD of hafnium oxide from tetrakis(ethylmethylamino) hafnium and water. Results are compared to other measurement techniques applied to the same warm-walled, single-wafer reactor. Additionally, our efforts to model the system using computational fluid dynamics and a detailed kinetic reaction mechanism are discussed.

TF-ThP-35 Characteristics of Thin Films Deposition of Ruthenium on Various SiO2 Substrates by Remote Plasma Atomic Layer Deposition
Jeesun Lee, Taeyong Park, Dongock Kim, HyeongTag Jeon (Hanyang University, Republic of Korea); Kihoon Lee, Byungchul Cho (IPS R&D Center, Korea); Moosung Kim, Heuibok Ahn (Air Product Korea)

High-k dielectrics, such as HfO2, ZrO2, Ta2O5, and (Ba, Sr) TiO3, have been studied for the application in storage capacitors of next generation dynamic random access memory (DRAM). Ruthenium is one of the promising electrode materials which are compatible with these high-k dielectrics. Ruthenium exhibits characteristics of high work function, good etching property with oxygen plasma and low resistivity. For these reasons, ruthenium thin film has been extensively studied for the next candidate material as an electrode for capacitors of DRAM. However, the Ru deposition shows long incubation time before actual deposition. Thus, the detail investigation of this incubation time and correlation with initial growth mechanism is very important to monitor the growth mechanism. To study the growth mechanism of Ru, we prepared several different SiO2 substrates grown under different conditions by CVD method, thermally grown, and grown in wet atmosphere. Ruthenium films were deposited on these various SiO2 substrates by remote plasma ALD method. We used bis(ethyl-π-cyclopentadienyl) ruthenium [Ru(EtCp)2, Ru(C2H5C5H4)2] as a ruthenium precursor and NH3 plasma as a reactant. In this experiment, the remote plasma atomic layer deposition (RPALD) method with NH3 plasma is used to deposit ruthenium thin films. The characteristics and initial growth of Ru on the different SiO2 substrates were measured with many analysis tools. The characteristic of differently grown SiO2 was investigated by X-ray reflectivity (XRR). And the surface morphologies of ruthenium films were examined by field emission scanning electron microscope (FESEM) and atomic force microscope (AFM). We also analyzed chemical states of the ruthenium films with X-ray photoelectron spectroscopy (XPS). The chemical composition and impurity content were investigated by Auger electron spectroscopy (AES). The phase identifications of the samples were performed by X-ray diffraction (XRD).

TF-ThP-36 Electrical Characteristics of Lanthanum Oxide with SiO2 Buffer Layer using Remote Plasma Atomic Layer Deposition (RPALD) Method
HyeRin Lee, SangHyun Woo, HyungChul Kim, JaeSang Lee, HongGyu Kim, YongChan Kim, HyeongTag Jeon (Hanyang University, Republic of Korea)

Conventional SiO2 has been used as a gate dielectric in complementary metal oxide semiconductor (CMOS) devices. When high-k materials are used as new gate oxides instead of SiO2, the film thickness can be increased to reduce the tunneling leakage current while scaling the equivalent oxide thickness (EOT). Atomic layer deposition (ALD) method has been studied in an effort to deposit high-k materials. Especially, the plasma- enhanced atomic layer deposition (PEALD) method is applied for deposition of high-k dielectrics due to its advantages such as an increased reactivity, reduced impurities, and a good uniformity. Lanthanum oxide (La2O3) is considered as one of the most promising materials among these high-k materials for the following reasons. It has high dielectric constant (k=20-30), large band gap, and good thermal stability on Si substrate. However, one of the difficulties in the application of the La2O3 to MOSFETs is a large flat-band voltage shift. The undesirable large flat-band voltage shift results from two kinds of defects. One is a fixed oxide charge located at the interface between the oxide and Si, and the other is an oxide trap charge in the oxide. Lanthanum silicate interlayer,which is formed between La2O3 and silicon substrate, has defects that can shift flat-band voltage. Therefore, it is desirable to reduce impact of these defects for the application of lanthanum oxide.

In this study, a SiO2 buffer layer was used to improve the electrical properties of La2O3 gate oxides. The SiO2 buffer layer retards the formation of lanthanum silicate interlayer, thus reducing the fixed oxide charges. We have examined the La2O3 films which were deposited on Si substrate and thermally grown SiO2 buffer layer using PEALD method, respectively. La2O3 growth rate was 0.3 nm/cycle at 300 ºC on Si substrate and flat-band voltage was 0.29 V. Leakage current was 5.67E-8 A/cm2 at . We analyzed a composition and chemical bonding of the films with X-ray photoelectron spectroscopy (XPS). Electrical characteristics were also measured using an Agilent B1500A semiconductor parameter analyzer to investigate the flat-band voltage and the equivalent oxide thickness (EOT).

TF-ThP-37 Chemical Vapor Deposition of Samarium Doped Ceria from Metal-Organic Solid Precursors
Tae-Sik Oh, David Boyd, Sossina Haile (Caltech)

Doped ceria (where the dopant is a trivalent cation such as Sm or Gd) is an attractive electrolyte material for solid oxide fuel cells (SOFCs) owing to its high ionic conductivity at intermediate temperatures (IT). It is also a good anode material due to its mixed ionic-electronic conduction under the reducing conditions that exist at a fuel cell anode. For high power density, the electrolyte in a fuel cell must be fabricated in thin film form in order to lower the area specific resistance. Accordingly, many studies have been directed towards thin-film preparation of the conventional SOFC electrolyte material, yttria stabilized zirconia. In the present work, samarium doped ceria (SDC) thin films are grown via metal-organic chemical vapor deposition (MOCVD) as a first step towards high power density SDC based fuel cells.

A vertical cold-wall MOCVD reactor was built in-house. This cold-wall reactor has a showerhead which gives impinging multi-jet flow of precursor vapor onto a substrate of choice. Metal organic precursors, Ce(tmhd)4 for Ce and Sm(tmhd)3 for Sm, were utilized as the cation source compounds. They are commercially available as fine powders. These were used in the form of fine solid powder coated on steel balls by mechanical stirring. This step is expected to provide uniform mixing of the two precursors with sufficient, stable surface area for evaporation. During a deposition run, minimum surface area change for the solid precursors is desired. The compound mixture was placed in a single evaporation vessel for the simplicity of the system, and the evaporation temperature was controlled.

Precise and reproducible control of composition is not trivial for solid solutions such as samarium doped ceria. An UV optical cell is located between the precursor evaporator and the deposition chamber. Optical absorption of the incoming precursor vapors was monitored in-situ. Even though it is impossible to separate peaks from the two metal-organics due to severe peak overlap, gas phase UV absorption still provide valuable information on evaporation behavior. In-line gas phase reaction forms oxide powders before precursors reach the substrate, and therefore should be suppressed. UV absorption can detect this unwanted reaction. The influence of deposition conditions such as substrate temperature, evaporation temperature, and precursor mixing ratio, on the the samarium content in the oxide thin films is explored. Deposition is carried out on single crystalline oxide wafers, and nickel-SDC cermet pellets. The resulting films were characterized by scanning electron microscopy (SEM), Raman spectroscopy, X-ray diffraction and energy dispersive X-ray spectroscopy (EDS).

TF-ThP-39 Fabrication and Characterization of Ink Jet Processed Organic Thin Film Transistors with Poly-4-Vinylphenol (PVP) Dielectric
Kyuhag Eum, Kyohyeok Kim, Yongkeun Son, Ilsub Chung (Sungkyunkwan University, Korea)
We fabricated organic thin film transistors (OTFTs) with a 6,13-bis(triisopropylsilylethynyl) (TIPS) pentacene as an active layer and cross-linked poly-4-vinylphenol (PVP) as a gate dielectric using ink-jet print. We used polyethersulphone (PES) as a substrate and Au as foe electrode. Prior to adopt PVP as a gate dielectric, PVP print condition was derived from metal-insulator-metal (MIM) structure by comparing with spin coating process in terms of leakage current, breakdown voltage and dielectric constant. The electrical properties were obtained using Keithley 4200 unit and Boonton 7200 capacitance meter. Additionally, the physical properties were also obtained using scanning probe microscopy SPM and scanning electron microscope (SEM).
TF-ThP-40 Improvement of Ohmic Contact Property of a Inkjet Printed TIPS-pentacene Schottky Diode by Employing SAM and PEDOT:PSS Layers
Jungmin Kwon, Kyohyeok Kim, JinHee Heo, Ilsub Chung (Sungkyunkwan University, Korea)
Inkjet printing technology has attracted a large of attention increasingly because of its low cost fabrication, capability with flexible substrate and high-throughput. Especially, organic schottky diode is thought to play an important role to operate a Radio Frequency Identification (RFID) at high frequency. In this paper, we fabricated organic schottky diodes using inkjet printer with two different sizes of diameters, namely, 50um and 30um. Au was deposited on polyethersulfone (PES) substrate, and then printed 6,13-bis(tri-isopropylsilylethynyl) pentacene ( TIPS - pentacene ) using ink-jet printer. Finally, Silver was form schottky contact with TIPS-pentacene layer. In an effort to improve ohmic contact, we utilized self-assembled-monolayer (SAM) and Poly(3,4-ethylenedioxythiophene) poly(styrenesulfonate) (PEDOT:PSS) between gold and TIPS- pentacene layer, respectively. Keithley 4200 and Scanning probe microscopy (SPM) were used to examine the physical and electrical properties.
TF-ThP-41 Solution-derived Nanocomposite Materials for Photovolytaics
Elmira Ryabova (NViA); Michael Shkolnikov (ADCO-Engineering)

Photovoltaics are in a verge of expansion challenged with the grid parity requirement.

Three generations of solar cell technologies are racing for the better $$/Wp numbers.

c-Si, mc-Si, micromorph, Thin film (CdTe, CIGS), Organic – all use thin film processing in order to create absorber, emitter, light trap-assisting layer, back side field -passivating layer, barriers, metallization, etc.

In order to achieve grid parity ($$/Wp) PV-technology strives to increase Power Conversion Efficiency (PCE) coupled with reduced Cost of Ownership (CoO).

Former is done by minimizing losses -optical and electrical and implies advanced interface engineering.

Later entails low cost material and technology utilization that places verdict on industry trend toward innovative technologies.

Wet chemistry based thin film deposition routing is proposed to replace high vacuum ones for several critical layers, such as ARC, TCO, BSF and surface modification.

This low cost method features:

High deposition rate

High material utilization

Simple equipment capable of very large substrate handling.

Ideal for roll-to-roll operation

Full conformity with complex shape surfaces

Highly uniform films of various compositions with the thickness range 6-600 nm have been deposited using dip coating, slot die and microgravure coating, spray coating.

With the proper surface activation film forms covalent bonds with the substrate and has no interfacial imperfectness.

When used for solar cell fabrication – can add up to couple of percent to PCE compare to traditionally processed cells.

Precursor for the specific layer can be purchased or mixed in-house depends on formulation.

Solution Derived Nanocomposites are already on their way to mass production at many PV-houses with the increasing popularity among large size, flexible substrate, and concentrating solar users.
TF-ThP-42 Experimental Evaluation of Cheap, Overly Abundant Semiconductor Materials for Wide-Spread Photovoltaic Applications
Kristopher Davis, Steven Nason, Nicoleta Hickman (Florida Solar Energy Center)

Only a limited amount of semiconductor materials have been deployed on a wide-spread basis for terrestrial solar energy production. Single crystalline silicon has held the majority of the market share, with other technologies emerging over the years with a smaller presence (e.g. a-Si:H, CdTe, CIGS). Some of the materials used in many of these technologies possess clear long-term disadvantages with regards to economics, availability, and environmental consequences. A recent study of 23 potential semiconductors ranks the potential of each in regards to their annual electricity production potential and their raw material cost [1]. Detailed experimental research on the photovoltaic potential of many of these individual compounds is very sparse or non-existent.

In this experimental study, seven of the best candidates were selected for further investigation, including FeS2, Zn3P2, PbS, CuO, CuO2, NiS, and ZnSe. Transmission, reflection, and absorption measurements were performed on these binary compounds. Solar cells were also fabricated at the Florida Solar Energy Center to measure photovoltaic properties, including conversion efficiency under Standard Test Conditions, quantum efficiency and spectral response. The measured optical and electrical properties of these semiconductor materials provides a better understanding of the potential of each for wide-spread deployment. Future work will be focused toward optimizing device design and fabrication processes to maximize the energy conversion of the best potential compounds.

1. C. Wadia, A.P. Alivisatos, D.K. Kammen, Environmental Science and Technology, 2009, 43 (6), 2072-2077

TF-ThP-43 Investigation of Microstructure, Surface Morphology, and Hardness Properties of PtIr Films by Magnetron Sputtering
Chao-Te Lee, BoHeng Liou, Chun-Ming Chang, Yu-Wei Lin (Instrument Technology Research Center, National Applied Research Laboratories, Taiwan)

Pt1-xIrx films with x = 22.76-63.25 are fabricated on (100) Si substrates at 400 ℃ by magnetron sputtering deposition. Effects of Ir content on the microstructure, morphology and hardness of PtIr films are investigated by field emission scanning electron microscopy, X-ray diffraction, atomic force microscopy and nanoindentation system. The columnar structures are observed by field emission scanning electron microscopy. X-ray diffraction analysis revealed that PtIr films had preferred orientation along Pt(111) as Ir content is below 50.84 at.%. When the Ir content is more than 50.84 at.%, the PtIr film had another preferred orientation, Ir(111).The surface morphology is analyzed by atomic force microscopy. Roughness of PtIr films is decreased with increased Ir content. The hardness of all PtIr films is under 20 GPa. It is found the maximum hardness of PtIr films is about 14.9 GPa as Ir content is 57.9 at.%.

TF-ThP-44 Effect of Hydrogen Plasma Pretreatment on Superconformal Cu Gap-Filling of Trench with Ru Barrier Metal
HockKey Moon, Seonil Kim, ChangRyong Jung (SungKyunkwan University, South Korea); Woo-Hee Kim, Hyungjun Kim (Pohang University of Science and Technology, Korea); Nae-Eung Lee (SungKyunkwan University, South Korea)
In this work, effects of hydrogen plasma pretreatment on superconformal Cu gap-filling of the nano-scale trench with atomic-layer –deposited (ALD) Ru barrier metal were investigated. For this purpose, hydrogen plasma pretreatments on ALD-Ru layer were carried to control the nucleation and growth of Cu during metal-organic chemical vapor deposition (MOCVD). As the plasma pretreatment time increases, Cu nucleation is suppressed increasingly. XPS and thermal desorption experiments of the pretreated ALD Ru surface showed that hydrogen atoms passivate the Ru surface, which leads to suppression of Cu nucleation presumably due to inhibition of adsorption of Cu MO precursors. During Cu gap-filling of the sub 60-nm and ALD-Ru deposited trenches without the plasma pretreatment, the entrance of the trenches during copper deposition was were easily blocked. On the contrary, for the plasma pretreated trenches, evolution of Cu layer in the trenches showed a dramatic reduction of over-hang near the entrance of the trench leading to superconformal filling of the nano-scale trench.
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2009 Schedule