AVS2009 Session EM-TuP: Electronic Materials and Processing Poster Session

Tuesday, November 10, 2009 6:00 PM in Room Hall 3

Tuesday Evening

Time Period TuP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2009 Schedule

EM-TuP-1 Direct Growth of Hexagonal InN Films on 6H-SiC by RF-MOMBE
Wei-Chun Chen, Chien-Nan Hsiao (National Applied Research Laboratories, Taiwan); Din Ping Tsai (National Applied Research Laboratories and National Taiwan University)

Wurtzute InN films were prepared on 6H-SiC substrate by self-designed plasma-assisted metal-organic molecule beam epitaxy system without buffer layer. In our report, we discussed the effects of substrate temperature on structural and optical properties of InN films. The crystalline and microstructure of the thin film was further characterized by X-ray diffraction (XRD), field emission scanning electron microscopy (FESEM), transmission electron microscopy (TEM), respectively. Electrical and optical properties were evaluated by Hall and photoluminescence (PL) measurements. XRD results indicated that InN films were polycrystalline and preferential grown along c-axis orientation. Two-dimensional growth mode was clearly shown from SEM images. However, cracks due to the lattice and mainly large thermal expansion coefficient mismatch were observed as well. Cross-sectional TEM images revealed that the InN films were grown continuously from the 6H-SiC substrate, and c-axis lattice constant was about 0.57 nm. Room-temperature PL spectra showed the emission peak is located at ~ 0.83 eV and sheet carrier concentrations is 7.9 × 1015 cm-2. It was found that the optoelectronic properties and crystalline quality can be improved significantly by optimizing growth temperatures.

EM-TuP-2 Evolution of the Electrical Conductivity of Amorphous Carbon Nitride Films in Terms of Disorder Parameters and Density of States
Fabien Alibart, Olivier Durand-Drouhin, Andreas Zeinert, Mohammed Benlahsen, Michaël Lejeune (LPMC - UPJV, France)

In this study, we discuss the correlations between electrical and optical properties of highly sp² amorphous carbon nitride for the development of electronic devices applications. The amorphous carbon thin films have been deposited using reactive plasma (Ar+N2) radio frequency magnetron sputtering. The partial pressure of nitrogen has been used to vary the optoelectronics properties of the films.

The optical gap has been investigated using UV-visible-NIR spectroscopy and linked to the disorder parameter W-1. The electrical conductivity has been studied in the temperature range of -170°C to 300°C and has revealed the presence of two conductivity modes. These results have been interpreted as a function of the density of states (DOS) evolution. The microstructural evolutions of the films with nitrogen incorporation have been investigated by Raman spectroscopy and IR absorption spectroscopy.

The disorder parameter W-1 has shown a linear dependence with the optical gap in this range of materials (highly sp² carbon films) and has been interpreted as a measure of the overall disorder (structural and topological). At low nitrogen partial pressure, nitrogen incorporation promotes the graphitization and the clustering of the sp² phase. The preferential contribution of disorder has been identified as an increase of topological disorder (cluster size dispersion). The increase of electrical conductivity in this stage has been correlated to the increase of disorder and has revealed an increase of the sp² connectivity consistent with an increase of the cluster size.

The decrease of conductivity for the higher nitrogen content has been interpreted in a specific model for highly sp² materials (adaptation of Robertson’s two phase model). The proportion of CN triple bonds has been identified as a major effect on the material connectivity affecting the optoelectronic properties of the films.

EM-TuP-3 Characterization of Zn1-xMnxO / ZnO Hollow Nanosphere Structures
Da-Ren Liu (Instrument Technology Research Center, Taiwan); Wen-Hao Cho, Chien-Ying Su (National Applied Research Laboratories, Taiwan); Din Ping Tsai (National Taiwan University)
Diluted magnetic semiconductors (DMS) have recently attracted considerable attention due to their potential applications for spintronic devices, such as spin-valve transistors, nonvolatile memory, and magneto-optical switches. ZnMnO is one of the most promising DMS materials due to its predicted above room temperature ferromagnetism. In this study, ZnO layer was conformally deposited on the surface of polystyrene (PS) nanoshpere by atomic layer deposition (ALD). After removal of PS nanosphere by heating, ZnO hollow nanospheres were formed. Then the Zn1-xMnxO ( x=0~0.1 ) coatings were grown on ZnO hollow nanospheres by Nd:YAG pulsed laser deposition(PLD). According to the results of high-resolution x-ray diffraction, the Zn1-xMnxO / ZnO hollow nanospheres are polycrystalline with a preferential growth direction of (002). Atomic force microscopy (AFM) and magnetic force microscopy (MFM) images show that the magnetic properties of Mn doped ZnO hollow nanospheres strongly depend on the Mn composition fraction and the size of nanospheres. Photoluminescence spectra demonstrate ultraviolet emission peaks which have shift with the increase of Mn ion concentration. The temperature-dependent magnetization (M-T) curves of the Zn1-xMnxO hollow nanospheres were measured by a superconducting quantum interference device (SQUID) magnetometer and also depend on the Mn composition fraction and the size of nanospheres.
EM-TuP-5 Lifetime and Defect Characterization of Engineered Germanium-on-Silicon Wafers for III-V Photovoltaics
Josephine Sheng, Darin Leonhardt (University of New Mexico); Jeffrey Cederberg, Malcolm Carroll (Sandia National Laboratories); Sang Han (University of New Mexico)
Demand for low-cost, light-weight, mechanically strong, high-efficiency multijunction solar cells has motivated the development and use of high-quality Ge-on-Si (GoS) heterostructures to integrate III-V films. However, such integration poses many engineering challenges, ranging from lattice mismatch, to thermal expansion coefficient mismatch, to non-planar morphological evolution. To eliminate antiphase domain (APD) boundaries in GaAs grown on GoS, in particular, the Ge surface on GoS substrates must maintain the crystallographic off-cut of the underlying Si. Here, we report a slurry-free chemical-mechanical polish step used to planarize the GoS surface. The root mean square (RMS) roughness of the resulting Ge surface is less than 1 nm. We have also characterized polished GoS substrates for their electrical properties. Due to nanoscale heterojunction engineering involving a SiO2 template, the experimentally measured recombination velocity (SRV) at the Ge-Si interface approaches 9 x 103 cm/s. Capacitance-voltage (C-V) measurements are also used to determine the density of electrically active defects in the Ge layer of the GoS heterostructure. The p-type defect density is approximately 2 x 1016 cm-3. Currently, more steps are taken to reduce the density of active defects.
EM-TuP-6 Imaging Characterization Techniques Applied to Cu(In, Ga)Se2 Solar Cells
Steven Johnston (National Renewable Energy Laboratory); Nathan Call (Colorado School of Mines); Rajalakshmi Sundaramoorthy, Ingrid Repins (National Renewable Energy Laboratory)
Imaging techniques developed over the past few years have the potential for quick and useful solar cell characterization. While initially applied to silicon, imaging techniques such as photoluminescence imaging, electroluminescence imaging, and lock-in thermography can also be successfully incorporated into Cu(In,Ga)Se2 solar cell development and process feedback. These techniques are capable of collecting images that provide values of minority-carrier lifetime, diffusion length, series resistance, and shunting. While point measurements such as transient decay lifetimes yield quantitative results that are valuable to research and development, imaging data can often be collected in seconds or less with better spatial resolution and be correlated to important solar cell parameters.
EM-TuP-7 High Rate Deposition of TiO2 Films by Reactive Sputtering for Dye Sensitized Solar Cells
Yasushi Sato, Takahiro Hashimoto, Amica Miyamura, Yuzo Shigesato (Aoyama Gakuin University, Japan)

Dye-sensitized solar cells have attracted attentions as next generation solar cells which have possibility to perform high efficiency with low cost. In recent years, various applications of the cells, such as colorful or flexible solar cells fabricated on polymer substrates, have been suggested. In conventional processes to form the TiO2 photoelectrodes in the cell, the substrates should be annealed at higher temperature than 500 oC, which make it difficult to deposit TiO2 films on polymer substrates. Sputter depositions should have advantages in fabricating the window-size uniform coatings of anatase TiO2 at low temperature. In this study, dye-sensitized solar cells with TiO2 photoelectrodes deposited by reactive magnetron sputtering on flexible substrates were fabricated and characteristics of the cells were investigated. A dual magnetron sputtering (DMS) system was used for the sputter deposition of TiO2 [1-3]. This system consists of two magnetron cathodes with Ti metal targets, a dc power source with a 50 kHz pulse unit and plasma control unit (PCU) with a feedback system of plasma emission intensity (Fraunhofer Institut fur Elektronenstrahl-und Plasmatechnik, FEP). In the PCU, the plasma emission intensity of the Ti line at 500 nm was transformed into photovoltage (OEI) in order to control O2 flow ratio. TiO2 films were deposited on ITO/PET films and FTO glass substrates. Unipolar pulse or pulse packet modes were used for the sputter depositions. In order to control O2 flow ratio in “transition” and “oxide” regions, oxidation of the target surface was precisely controlled using the feedback system. Sputtering power of each target was kept at 5 kW. Film thickness of TiO2 was 3-10 μm. A sandwiched photovoltaic device was fabricated with N3-sensitized TiO2 photoelectrode and Pt-coated glass as a counter electrode. The deposition rates of both the pulse modes were about 7 nm/min in the “oxide region” and about 40 nm/min in the “transition region”. The maximum value of conversion efficiency in this study was 3.7 % which was obtained in the cell with TiO2 deposited with unipolar pulse mode in the oxide mode on FTO glass substrate. On the other hand, the cell with TiO2 deposited in the pulse packet mode on ITO PET-films performed 1.25 %. Furthermore, we also recognized that TiO2 with high photocatalytic decomposition activity showed high conversion efficiency where TiO2 with poor photocatalytic activity showed poor conversion efficiency.

[1] S. Ohno, Y. Shigesato, et al., Thin Solid Films 445 (2003) 207.

[2] S. Ohno, Y. Shigesato, et al., Jpn. J. Appl. Phys. 43 (2004) 8234.

[3] S. Ohno, Y. Shigesato, et al., Thin Solid Films 496 (2006) 126.

EM-TuP-8 Life-time Improvement of CdTe/CdS Solar Cells by Application of TiN Diffusion Barrier to Cu2Te Back Contacts
Chae-Hyun Lim, Ju-Sun Park, Seung-Han Ryu (Chosun University, Korea); Nam-Hoon Kim (Chonnam National University, Korea); Woo-Sun Lee (Chosun University, Korea)
CdTe is one of the most convincing materials for thin-film solar cells shaped as the CdTe/CdS heterojunction structure. The formation of back contact is the most important and immediate problem to be solved with the low-resistance and excellent stability because the formation of an ideal ohmic contact to CdTe is very difficult with the most metals. Copper (Cu) compounds or copper-doped materials were generally employed for the back contact including ZnTe:Cu, CuxTe, CuxS, and Cu-doped graphite due to its high electrical conductivity, and similar electron affinity to CdTe. However, copper is easily and rapidly diffused to CdTe material; the life-time of CdTe/CdS solar cells is remarkably reduced because the diffused impurity of copper play roles of recombination center and shunt pathway. Therefore, the investigations for an improvement of life-time were widely performed on the formation of the alternative materials without copper or the heat-treatment of copper-containing materials. In this study, a diffusion barrier layer of TiN for an anti-diffusion of copper was employed with the Cu2Te back contacts. TiN is well known as the diffusion barrier because of its good thermal/chemical stability, and low contact-resistance. The relation between the thickness of TiN layer and the diffusion of copper was analyzed by AES depth profile. The energy conversion efficiency and life-time at the optimized thickness was compared and analyzed to the specimen without the passivation layer. The improved life-time of CdTe/CdS solar cells with the good energy conversion efficiency was successfully obtained by the application of the optimized thickness of TiN diffusion barrier.
EM-TuP-9 Intermediate Band Optical Transitions in ZnTe:O for Photovoltaics
Weiming Wang, ShihChun Lin, Jamie Phillips (The University of Michigan); Wyatt Metzger (National Renewable Energy Laboratory)

The introduction of radiative electronic states within the bandgap of a semiconductor provide a mechanism for enhanced absorption of solar radiation and corresponding increase in short circuit current, while maintaining a large open circuit voltage. Approaches to introduce an intermediate band include the incorporation of quantum dots and doping of isoelectronic impurities. One promising material system is ZnTe (EG=2.3eV), where the incorporation of oxygen provides a highly radiative state 0.4eV below the conduction band. In this work, the optical properties and photovoltaic response of ZnTe:O grown by molecular beam epitaxy on GaAs substrates will be presented. Photoluminescence measurements confirm a strong radiative transition for oxygen doping. Time-resolved photoluminescence measurements indicate a fast decay process from the conduction band, and a slow radiative decay from the oxygen states. Diodes consisting of ZnTe:O absorbers confirm that the response wavelength is extended to wavelengths beyond 900nm. Measurements consisting of two-photon excitation at wavelengths below the bandedge (650nm and 1550nm) further confirm transitions via intermediate band states. A device model for ZnTe:O intermediate band solar cells will be presented based on measured material parameters, and will be applied to determine both realistic and ideal conversion efficiencies attainable.

EM-TuP-11 Characterization of the Electronic Structure of Dye-Sensitized Solar Cells
Sebastian Gutmann, Matthaus Wolak, Martin Beerbom, Rudy Schlaf (University of South Florida)

The electronic structure of the interfaces in dye-sensitized solar cell structures was investigated using x-ray and ultraviolet photoemission spectroscopy (XPS, UPS). Electrospray thin film deposition in high vacuum was used to build the interfaces of interest directly in vacuum without exposure to the ambient. Electrospray enables the fabrication of clean, essentially uncontaminated thin films of organic molecules and nanoparticles directly in vacuum.

The experiments focused on the investigation of the indium tin oxide (ITO)/nanocrystalline TiO2 interface, as well as the characterization of the TiO2/RuL2(NCS)2 [cis-bis(4,4’-dicarboxy-2,2’-bipyridine)–bis(isothio-cyanato)-ruthenium(II)] (“N3”, a prototypical dye used in many currently pursued device structures)-dye interface. Both TiO2 and N3 films were built up in several steps. After each step, characterization by XPS and UPS was performed. The resulting sequence of spectra allowed the determination of charge injection barriers and interface dipoles at the ITO/TiO2 and TiO2/N3 interfaces. A particular focus of the experiments was the investigation of the influence of different surface conditions of the ITO on the electron injection barriers between TiO2 and ITO.

EM-TuP-13 Gas Sensing Mechanisms in Sub 6nm Thick Heterostructure Organic Thin Film Transistors
James Royer, Sangyeob Lee, Corneliu Colesniuc, Ivan Schuller, William Trogler, Andrew Kummel (University of California, San Diego)

Understanding vapor interactions with organic thin films is key to application of organic thin films in chemical sensing. Ultra-thin organic thin film transistors (OTFTs) fabricated using only 4 monolayers (4ML) of metal phthalocyanines (MPc) are model devices for studying sensing physics since analyte adsorption is almost entirely restricted to the air/MPc interface since adsorption within the grain boundaries is minimal. Even in ultra-thin MPc OTFT heterostructures the films are sufficiently thin that gas adsorption occurs primarily from interfaces instead of grain boundaries. In an ideal ultra-thin heterostructure, gas adsorption should occur only at the interfaces thereby creating carrier traps which alter the conduction in the OTFT channel. This was directly investigated using MPc heterostructure OTFT s. The response to isophorone doses for metal free phthalocyanine (H2Pc) OTFTs and cobalt phthalocyanine (CoPc) OTFT s were compared to OTFT s fabricated with bilayer films (CoPc/H2Pc and H2Pc/CoPc). The sensitivity to isophorone is more than 5 times greater for H2Pc OTFTs than CoPc OTFTs, and the desorption kinetics fit a single exponential decay for H2Pc whereas a bi-exponential decay is required for CoPc. The heterostructure OTFT responses did not strongly correlate with the H2Pc or CoPc OTFTs which suggests a combination of surface doping and adsorption at the H2Pc/CoPc interface. This could lead to highly sensitive OTFT sensors based on multilayered MPc film structures.

EM-TuP-15 Microstructural Analysis and Luminescent Study of Thin Film Zinc Germanate Doped with Manganese
KyungHo Yoon, JooHan Kim (Chungbuk National University, Korea)
Thin films of zinc germanate doped with manganese (Zn2GeO4:Mn) were fabricated by radio frequency planar magnetron sputtering, and their microstructural characteristics and luminescent properties were studied. X-ray diffraction measurements showed that the as-deposited Zn2GeO4:Mn films were of amorphous structure in nature. Field emission scanning electron microscopy and grazing incidence x-ray reflectivity analyses revealed that the Zn2GeO4:Mn films had a smooth surface morphology. The Zn2GeO4:Mn films exhibited a high optical transparency in the visible wavelength region with the peak transmittance of 0.926, which is very close to the transmittance of the quartz substrate alone. The Zn2GeO4:Mn films became crystalline by the post-deposition annealing above 700 °C in air and the annealed films possessed a rhombohedral polycrystalline structure with a random crystallographic orientation of grains. The broad-band photoluminescence (PL) and cathodoluminescence (CL) emission spectra were obtained from the annealed films. The emission extends from 470 to 650 nm with a maximum at around 535 nm in the green range, which is accounted for by the intrashell transition of 3d5 electrons from the 4T1 excited-state level to the 6A1 ground state in the divalent manganese ions.
EM-TuP-16 Evaluation of ITO Films Deposited by Pulsed Electron Deposition
Harshan V Nampoori, Veronica Rincon, Mengwei Chen, Sushma Kotru (University of Alabama)

Transparent conducting oxides (TCO) are the materials which combine visual transparency with high electrical conductivity. TCO films such as Indium Tin oxide (ITO) find its applications in photovoltaics, flat panel displays, electrochromic devices etc. ITO films are commonly grown by sputtering technique and presently meet current needs and quality for device applications. However, to achieve such good quality films, the films are grown at elevated substrate temperatures. This work explores a relatively newer vapor deposition technique known as pulsed electron deposition (PED) for the growth of ITO films where the films are deposited at room temperature. A commercially available target of ITO (90/10) was used as the source material. Films were deposited on soda lime glass and on Si (100) substrates. The oxygen pressure in the chamber during growth was varied from 2.8 mTorr to 22 mtorr. All the films were deposited for 5000 pulses. To evaluate the quality of grown films, various characterization techniques were employed. The optical transparency and the electrical conductivity of the films were found to be improving with increasing Oxygen pressure. Effect of Oxygen chamber pressure on resistivity, surface morphology, optical constants and carrier concentration on the films has been carried out. Details about the film preparation and evaluation of film properties will be presented.

EM-TuP-17 Investigation of Oxide/InAs Interface via STM, STS, and KPFM
Wilhelm Melitz, Jian Shen, Andrew Kummel (University of California, San Diego)
III-V MOSFETs have the potential to produce superior devices but formation of low defect density oxide-semiconductor interfaces is critical. While silicon surfaces are highly reactive due to their partially filled dangling bonds, some III-V surfaces have very low reactivity due to absence of partially filled dangling bonds and therefore might be able to form superior interface to high-k dielectrics if processes induce defect formation is avoided. Atomically resolved scanning tunneling microscopy (STM) and scanning tunneling spectroscopy (STS) were employed to determine the atomic and electronic structure of clean InAs surface and oxide/InAs semiconductor interfaces. Kelvin probe force microscopy (KPFM) can be utilized to support the STS and to provide further information on the surface or interface electronic properties. The InAs samples are first annealed at low temperatures to remove a protective arsenic cap, and heated to high temperature to prepare clean InAs(001)-(4×2) surfaces; the (4×2) surface is indium rich and therefore oxidation resistant which is favorable for oxide deposition. An oxide is deposited with a high temperature effusion cell and STS/KPFM measurements are performed to probe the surface Fermi level. SiO has the potential to form an excellent interface to ALD deposited HfO2 since the Si/SiO2/HfO2 gate stack is known to have excellent electronic properties. STM images reveal that SiO forms cluster structures on the InAs(001)-(4×2) after annealing and does not desorb from the surface nor diffuse into the substrate after 800 K annealing. STS spectra for submonolayer SiO coverages deposited at 300 K on InAs(001)-(4×2) have shown the Fermi level is close to the conduction band for both n-type and p-type samples consistent with KPFM studies. For submonolayer SiO coverages deposited at 700 K on p-type InAs(001)-(4×2), STS shows the Fermi level near midgap consistent with partial unpinning; better electronic structure is expected for higher coverages of SiO. In2O could act as a protection layer during high-k ALD and can be removed after ALD by high temperature annealing. STM images of In2O deposited InAs(001)-(4×2) and annealed at 650 K show that In2O forms has highly ordered structures. After annealing above 700 K, the In2O desorbs from the surface and the pristine original clean InAs(001)-(4×2) is observed with no additional defects. Oxides are known to diffuse through HfO2 and ZrO2 so ALD deposition on In2O could be followed by an annealing step which removes the In2O to form a high-k/InAs without any ALD induced defects. STS and KPFM experiments on In2O are ongoing.
EM-TuP-18 Scaling Behaviors of Silicon Nitride Layer for Charge Trapping Memory
DongHua Li, Il Han Park, Jang-Gn Yun, JungHoon Lee, Doo-Hyun Kim, Gil Sung Lee, Yoon Kim, Se Hwan Park, Won Bo Shim, Wondong Kim, Seongjae Cho, Byung-Gook Park (Seoul National University, Korea)

The scaling behaviors of silicon nitride, as charge trapping layer in embedded nonvolatile (poly) silicon-oxide-nitride-oxide-silicon (SONOS) flash memory application is presented. The conventional SONOS structure shows not only electron back-tunneling and charge retention loss problem, but also similar non-trapping behaviors at 5 nm silicon nitride thickness in our previous work. Therefore, we adopted an ultra thin oxide-nitride-oxide (ONO) barrier to replace the single tunnel oxide in the conventional structure and achieved enhanced memory characteristics. In this paper, we continuously investigate the limitation of scaling behaviors of silicon nitride for bandgap engineered charge trapping memory, according to dimension scaling down tendency in memory cells. The bandgap engineered device consists of multi-layer structure of oxide-nitride-oxide-nitride-oxide, which is fabricated by low pressure chemical vapor deposition (LPCVD). Memory characteristics, such as program/erase speed, and charge retention are characterized by Quasi-steady static C-V observation by Agilent 4156C and Agilent 41501B. Detected memory windows (VFB), which is defined as the change of flat band voltage from programmed state to erased state, are 3.32 V, 3.73 V, and 4.05 V, when Fowler-Nordheim (F-N) stresses is applied in 5 nm thickness of silicon nitride at -13 V, -12 V, and -11 V, respectively. These experimental data shows excellent memory operation behaviors, and indicates further scalability of charge trapping layer compared to the conventional structures. Device reliability issue is also evaluated by charge retention measurement. Experimental data demonstrates that device embodies excellent reliable memory operation.

Acknowledgements This work was supported by “Tera-bit Level Nano Device Project”.
EM-TuP-19 ESR Spectroscopy of VUV-irradiated HfO2
Jason Lauer (University of Wisconsin-Madison); S. Cheng, Baylor Triplett (Stanford University); Leon Shohet (University of Wisconsin-Madison); Yoshio Nishi (Stanford University)

We compare the charging response and defect generation, produced by vacuum ultraviolet (VUV) irradiation, of rapid-thermally annealed (RTA) 4nm thick HfO2 to as-deposited HfO2 on Si substrates. The HfO2 dielectrics were irradiated to 11.6 eV photons. The surface potential of the HfO2 samples was measured before and after VUV irradiation with a Kelvin probe system. The surface potential was determined to be negative before VUV irradiation and positive after irradiation for all samples except the 4nm thick HfO2 layer that was RTAd at 1000C. Paramagnetic defects within the HfO2 samples with and without VUV irradiation were measured with Electron Spin Resonance Spectroscopy (ESR). The VUV-irradiated samples indicate the presences of both E’ and Ex centers. From VUV-spectroscopy, the valence-band structure and location of defects with the band gap of the HfO2 samples were determined and compared to density of states calculations to determine the origin of the electronic states measured. Initial results from VUV-spectroscopy suggest the presence of oxygen-interstitial defects (OID) located within the HfO2 layer and oxygen-deficient Si centers within the SiOx interfacial layer. We show the electronic states of OID in HfO2 line up in energy with oxygen-deficient Si centers within the SiO2 interfacial layer. We believe the oxygen-deficient Si centers are responsible for the accumulation of positive charge in the VUV irradiated HfO2 samples. We conclude that charge exchange between OIDs within HfO2 and the oxygen-deficient Si centers within the SiOx interfacial layer is very important for controlling the radiation-induced trapped charge in HfO2/SiOx/Si dielectric stacks.

Supported by the National Science Foundation under Grant Number DMR-0306582 and the Semiconductor Research Corporation under Contract Number 2008-KJ-1781. The UW Synchrotron Radiation Center is funded by the National Science Foundation under Grant Number DMR-0537588.

EM-TuP-20 Annealing of ZrO2 Thin Films Studied by Vacuum UV Spectroscopic Ellipsometry
Ilsin An, Sangyuk Lee, Hyukneong Cheon, Jaesun Kyoung, Hyekeun Oh (Hanyang University, S. Korea)
ZrO2 is one of the high-k dielectrics which can be used for the storage capacitor in dynamic random access memory devices. However, the physical properties of ZrO2 films are highly dependent on the preparation process and conditions. Particularly, the thickness and temperature effects are most important. In this work, we investigated the annealing effect of ZrO2 films which were prepared at various thickness ranges using atomic layer deposition. Vacuum ultraviolet spectroscopic ellipsometry (VUV SE) was employed to study the optical and microstructural properties of zirconium oxide (ZrO2) films. Also XRD and capacitance measurements were performed. ZrO2 films thinner than ~4 nm remained amorphous even at elevated annealing. Meanwhile thicker films developed into crystalline phases and the degree of crystallinity depended on the thickness.
EM-TuP-21 Fabrication of Multilayer Thin Film Micro-Cooling Devices
K. Hedgeman, M. Harris, Zhigang Xiao (Alabama A&M University)

Solid state thermoelectric cooling devices have been of current interest for hot-spot thermal management. Cooling hot-spots with high heat flux is becoming one of the most important technical challenges facing today’s IC industry. The rising temperature limits device minimization and decreases its lifetime. In this paper, we report to fabricate in-plane and cross-plane solid-state thermoelectric cooling devices using multilayered Bi2Te3/Sb2Te3 and Bi2Te3/Bi2Te3-xSex thin films. The Bi2Te3/Sb2Te3 and Bi2Te3/Bi2Te3-xSex multilayer thin films were deposited using sputtering deposition. The Bi2Te3/Sb2Te3 and Bi2Te3/Bi2Te3-xSex multilayer thin films have a periodic structure consisting of alternating Bi2Te3 and Sb2Te3 layers or Bi2Te3 and Bi2Te3-xSex layers, where each layer is about 10 nm thick. The films were analyzed by XRD and SEM. The devices were fabricated using the standard integrated circuit (IC) fabrication process; pn junction diodes were fabricated as thermometers for the measurement of temperature in the devices. The fabricated in-plane and cross-plane multilayer thin film cooling devices and the achieved temperature difference from the cooling devices will be reported in the Conference. The developed devices could be a good candidate for the application of high-efficiency solid-state micro-cooling.

EM-TuP-22 High-density Chalcogenide Nanostructure Arrays Fabricated by Self-aligned and Maskless Process with Modified Nanosphere Lithography
Nam-Hoon Kim, Hoe-Young Yang, Hyun-Yong Lee (Chonnam National University, Korea)
Phase change random access memory (PRAM) was concerned about one of the strongest candidate of non-volatile memory although the fabrication of PRAM has encountered the technical limitations by plasma process induced damage at sub-100 nm sizes. Novel approaches have been attempted to realize the reliability and utilization with a cost-effective production for high-density phase change memories. Nanosphere lithography (NSL) is one of the most useful techniques for the formation of close-packed periodic nanostructures. Polystyrene nanospheres of 500 nm-diameter were arrayed orderly on the photoresist (PR)-covered bottom electrode after dipping the samples into the developer solution for a few seconds to make the PR surface hydrophilic enough. The polystyrene nanospheres-arrayed samples were exposed. Then, polystyrene nanospheres were removed by DI water in an ultrasonic bath before development of PR. The average diameter of holes was about 100 nm. Ge2Sb2Te5 (GST) was subsequently deposited into the periodic nanoholes, and then PR was lifted-off. High-density chalcogenide arrays were simply and successfully established by the self-aligned and maskless process of NSL. Acknowledgement: This work was supported by Korea Research Foundation Grant (KRF-2007-412-J02003).
EM-TuP-23 Investigation of Crystal Structure and New Ellipsometric Properties of Hexagonal CdS Epilayers
Dae Kim, Yong Choi (Mokwon University, Korea); Jong Lee (Hanbat National University, Korea); Jong Sur (Wonkwang University, Korea)
High quality hexagonal CdS epilayer was grown on GaAs (111) substrates by the hot-wall epitaxy method. The crystal structure of the grown CdS epilayers was confirmed to be the hexagonal structure by X-ray diffraction pattern (XRD) and scanning electron microscopy (SEM) image. To explore binding states and their potential applications, the hexagonal structured CdS epilayers have been characterized using x-ray photoelectron spectroscopy (XPS). The optical properties of the hexagonal CdS epilayers were investigated in a wide photon energy range between 2.0-8.5 eV using spectroscopic ellipsometry (SE) at room temperature. The data obtained by SE were analyzed to find the critical points of the pseudodielectric function spectra, < ε ( E )>=< ε1( E )>+i< ε2( E )>, such as E0, E1A, E1B, E0', F1, and two E2 structures. In addition, the second derivative spectra, d2ε(E)/dE2, of the pseudodielectric function of hexagonal CdS epilayers were numerically calculated to determine the critical structures. Four structures, such as E0', F1, and two E2 structures, from 6.0 eV to 8.0 eV were observed, for the first time, at 300 K by ellipsometric measurements for the hexagonal CdS epilayers.
EM-TuP-24 A Study on the In-Situ Phosphorus-Doped epi-Si1-xCx Growth for NMOSFET Application
Jeong-Ho Yoo, Do-Kyu Lee, Dae-Hong Ko (Yonsei University, Korea)
As the size of complementary metal oxide semiconductor (CMOS) technology devices scales down, studies on strained channel engineering using lattice mismatch have received considerable attention since conventional structures shows serious problems, such as the increase of leakage current and the decrease of channel mobility. Specifically, in order to improve the electron mobility in the channel for NMOSFETs, many researches about source/drain epi-Si1-xCx are in progress because of the successful employment of source/drain epi-Si1-xGex in PMOSFETs. However, due to the extremely low solid solubility of C in Si in thermodynamic equilibrium, it is difficult to grow epi-Si1-xCx with x > 1 at %. Furthermore, thermal annealing processes for the electrical activation of source/drain implants after the epi-Si1-xCx growth can easily precipitate C atoms out from the substitutional sites, causing the loss of stress and affecting the junction and transport properties. In-situ doped epi-Si1-xCx can maintain the strained Si1-xCx layers with heavy doping because the process need not contain ion implantation and activation annealing. In this paper, we investigated the formation and the thermal stability of in-situ Phosphorus doped epi-Si1-xCx in order to apply these films to the source/drain region of the ULSI device. The native oxide on Si (100) wafers was completely removed by HF cleaning and 100 nm-thick Phosphorus doped Si1-xCx films were immediately deposited by UHV-CVD. Disilane (Si2H6), monomethlysilane (SiH3CH3) and phosphine (PH3) gases were used for Si, C and P sources, respectively. The strains of the Si1-xCx layer were investigated by using HR-XRD. The microstructures were observed by using HR-TEM.
EM-TuP-26 Photoinhibition of Conductance Switching by Cycloaddition Reactions between Adjacent Molecular Switches
Moonhee Kim, J. Nathan Hohman, Shelley Claridge (The Pennsylvania State University); Hong Ma, Alex Jen (University of Washington); Paul Weiss (The Pennsylvania State University)

Directed assembly and subsequent photomodulation of anthracene-terminated phenylethynylthiolate molecules provide a means to control charge transfer in molecular switches. These fully conjugated molecules were selectively inserted as lone molecules, or in pairs, into defect sites of n‑alkanethiolate monolayers on Au{111}. Control of the assembly and a fixed molecular conformation on the surface allow a regioselective [4+4] cycloaddition between adjacent anthracene moieties under ultraviolet illumination. This photodimerization breaks the delocalized π network of the anthracene, which results in a dramatic conductivity decrease, observed as a photomodulated “off” state. The reaction between molecules also reduces stochastic conductance switching.

Time Period TuP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2009 Schedule