AVS2008 Session PS-TuP: Plasma Science Poster Session

Tuesday, October 21, 2008 6:30 PM in Room Hall D

Tuesday Evening

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule

PS-TuP-2 Invesitgation of Growth Mechanism of Diamond-like Carbon Film
M. Shinohara, Y. Matsuda, H. Fujiyama (Nagasaki University, Japan); T. Nakatani (Toyo a-tec Co. LTD., Japan)
There has been much interest in diamond-like carbon (DLC) films because they have a lot of useful properties: mechanical hardness, chemical inertness, and changeable electrical properties. Further, DLC films were deposited at low temperatures by using plasma process. The films have been used as coating materials for mechanical apparatus. On the other hand, DLC films should be used as electrical and electronic device materials, if the deposition of DLC films has to be controlled in atomic level. Therefore, it is important to understand the growth mechanism of the DLC films. Only a few papers proposed growth mechanism: the deposition rates of DLC films were decreased with the increases of the substrate temperatures; this was because the hydrogen radical generated in plasma was etched the carbon films. However there are a lot of problems left in this model. Thereby, we investigate the growth mechanism in PECVD process by using infrared spectroscopy and deposition/etching rates. We found the decrease of the deposition rates was not due to the hydrogen radical etching, but to the decreases of the adsorption coefficient of hydrocarbon radicals generated in plasma. This was because the etching rates were not increased by the increases of the substrate temperatures. We also found that the types of hydrocarbon species in the DLC films were changed by the substrate temperatures less than 300 degree C during the deposition; in this temperature region the hydrogen was not thermally desorbed from the films. It is due to the activation of the hydrogen abstraction effects by the increases of the substrate temperatures.
PS-TuP-3 Impact of Combinatorial Plasma Process on the Development of Organic Low-K Dielectric Film Etching
C.S. Moon, K. Takeda (Nagoya University, Japan); M. Sekine, M. Hori (Nagoya University and Japan Society of Technology Agency); Y. Setsuhara (Osaka University and Japan Society of Technology Agency); M. Shiratani (Kyushu University and Japan Society of Technology Agency)
Plasma etching technology is one of technologies, which have been in charge of semiconductor device industry. As it is scaled down to several tens of nanometers, the sophisticated plasma parameter control has been indispensable to achieve the process requirements. However, up to now, it was an obvious fact that a lot of trials and errors have been carried out in the development of plasma etching process by external parameters such as input power or working pressure, since there has never been the any scientific guiding principle based on plasma science. We hereby propose the development of process map called Plasma Nano Science. However, as the enormous database is necessary to establish the process map, it is difficult by conventional unit process capable of obtaining one result by one trial. At this moment, we have newly developed the combinatorial plasma process apparatus for etching of organic low-k dielectric film, which enables to acquire many results by just one experiment. Desktop-typed combinatorial plasma apparatus was realized by capacitively coupled plasma source consisted of top electrode (13.56MHz) with the diameter of 10 mm and bottom electrode (2MHz) with that of 40 mm. Process gases of hydrogen and nitrogen were used for etching organic low-k dielectric film. Optical emission intensity of combinatorial plasma was investigated by ICCD camera and we could confirm the formation of intentional non-uniform plasma with gradient on the bottom electrode. The spatial distributions of H and N radical densities were measured by compact vacuum ultraviolet absorption spectroscopy (VUVAS) system designed and developed by our group1 and the etching characteristics of combinatorial plasma process were interpreted by radical density. As a result, impact of combinatorial plasma process on the development of organic low-k dielectric film etching in terms of internal parameters was confirmed for the first time. The combinatorial plasma process will open a new avenue for the establishment of plasma nano science.


1S. Takashima, M. Hori, T. Goto, A. Kono, M. Ito and K. Yoneda, Appl. Phys. Lett. 75, 3929 (1999).

PS-TuP-4 Surface Fluorination of Ultra High Molecular Weight Polyethylene using Electron Beam Generated Plasmas
S.G. Walton, E.H. Lock (US Naval Research Laboratory); A.A. Bujanda, D.D. Pappas (US Army Research Laboratory)
The intrinsic bulk properties of polymers make them ideally suited for light-weight, conformal protective outerware or devices. Their surfaces properties, on the other hand, require modification to realize their full potential and plasma treatment is one of the most powerful techniques to tailor the functionality of polymer surfaces. In this study, we use pulsed, electron beam generated plasmas to modify the surface of ultra high molecular weight polyethylene (UHMWPE) films. One advantage of these plasmas is the ability to regulate the ion flux and energy at the polymer surface. Under typical operation, the kinetic energies of the incident ions are at or below the energies of the polymer bonds, thus limiting damage caused by ion bombardment. The plasma-polymer interaction produces fluorine-containing groups on the surface, leaving the polymer bulk properties unaffected. Preliminary results indicate that the plasma-treated surfaces exhibit increased hydrophobicity, X-ray photoelectron spectroscopy (XPS) shows the presence of chemically bonded fluorine groups on the surface, and AFM indicates minimal changes in surface morphology. The results from these studies are used to understand the fluorination of ultra high modulus polyethylene fibers under similar plasma conditions. This work was supported by the Office of Naval Research. EHL is an NRC/NRL Postdoctoral Research Associate.
PS-TuP-5 Measurement of the Isoelectric Point of Plasma Modified Surfaces and Plasma Polymerized Thin Films
S. Pease, E.R. Fisher (Colorado State University)
Plasma polymerization and plasma modification are often used to tailor the surface properties of materials. One important, but often overlooked property of materials is the isoelectric point, which is a critical measure of the acid/base properties of a variety of surfaces, most notably metal oxide surfaces. The isoelectric point for surfaces can be determined using contact angle methods as a function of the pH of the water solution used for the measurements. Here, we have treated a variety of metal oxide surfaces, including SiO2, SiOxNy, and ZrO2 with Ar plasmas to determine the effect of plasma treatment as well as aging on the isoelectric point of the surfaces. SiO2 substrates exhibit a significant increase in isoelectric point upon treatment, from ~4.9 to ~6.0, depending on substrate location in the plasma. Upon aging, there is no change in the isoelectric point. In contrast, the SiOxNy substrates exhibit little change in isolectric point upon treatment, and no subsequent changes are observed upon aging. XPS compositional data will also be presented to corroborate changes in surface composition upon treatment as well as upon aging of the substrates. Additional contact angle and XPS data will be presented on plasma-deposited metal oxides (e.g. SiOx and SiOxCy) and polymers (e.g. poly(allyl alcohol) and polyamides) for comparison.
PS-TuP-6 Plasma Processing with CH3OH
K.J. Trevino, E.R. Fisher (Colorado State University)
Traditional plasma processes including deposition, etching, and surface modification have been utilized in a variety of commercial applications. These applications can require highly toxic and expensive monomers; to avoid these issues, we have been exploring the use of CH3OH for a variety of both nontraditional and traditional applications. First, the non-traditional application for plasmas of contaminated water remediation, has been explored using CH3OH as a model compound for organic contaminants. It was chosen as a standard to compare larger organic molecules to in the future for detection and abatement with optical emission spectroscopy (OES). These studies were performed in a glass tubular reactor equipped with OES detection. Results for both CH3OH and methyl tert-butyl ether (MTBE) contaminated water demonstrated that not only is detection of organic molecule breakdown possible, but abatement can also be achieved. Our data demonstrate this for CH3OH and MTBE at detectable limits of 0.01 ppm. Second, data for OH radicals from our imaging of radicals interacting with surfaces (IRIS) technique will be presented for both CH3OH and H2O plasmas. These two simple systems allow us to understand the behavior of OH radicals from different precursors. Results from these studies will be compared to previous studies, especially with respect to how plasma parameters affect the underlying chemistry occurring in the plasma. Finally, preliminary results will be presented from studies designed to explore the use of CH3OH plasmas as an etchant. These studies were completed in a capacitively-coupled parallel plate reactor (PPR) and include OES, scanning electron microscopy (SEM), and profilometry measurements. Comparison to traditional halogenated systems will also be presented.
PS-TuP-7 Efficiency Improvement of Organic Solar Cells with Plasma Patterning and Surface Treatment
H. Chae, C. Pang, K. Park, D. Jung, H. Kim (Sungkyunkwan University, Republic of Korea)
Plastic organic solar cells are getting attention due to its possible advantages in flexibility and processing costs. In this presentation, brief review of issues of plastic solar cells will be discussed with possible solutions. Our approaches to improve efficiency of plastic solar cells with plasma processing of electrodes will be discussed in details. One of the approaches is to improve the efficiency of polymer solar cells by patterning indium tin oxide (ITO) electrode layer. Light absorbance was enhanced with ITO layer patterning for the improvement of power conversion efficiency of polymer solar cells. The line-and-space pattern of polystyrene layer is formed on the top of 100nm thick indium tin oxide layer by capillary force lithography process and the patterning. And surface roughening of the ITO layer were completed with O2 and Ar plasma etching with various step heights of 20nm to 60nm. We have shown that the patterning of the ITO can increase the efficiency of the plastic solar cells. Another approach to be discussed is plasma surface modification of the solar cell electrodes. Plasma processing of the ITO surface with fluorocarbon plasmas and oxygen plasma increased the efficiency of the plastic solar cells by removing organic contaminants in the ITO surface and by surface oxidation.
PS-TuP-8 Polymer Modification by Electron Beam Generated Plasma in Argon, Oxygen and Nitrogen Environments and Their Mixtures
E.H. Lock, S.G. Walton (Naval Research Laboratory)
The electron beam generated plasmas are efficient at generating high density plasmas over the volume of the beam, resulting in large fluxes of low-energy ions (< 5 eV) at surfaces located adjacent to the electron beam. Thus, the ion energy applied to the surface is comparable with the bond strengths found in most polymers, so it is sufficient to invoke chemical surface modification with limited morphology changes. In this study, polymer modifications resulting from electron beam plasma generation in argon, oxygen and nitrogen environments and their mixtures are investigated. The polymers of interest include polystyrene, polymethylmetacrylate and ultra-high molecular weight polyethylene. The effects of the plasma process parameters including treatment time and duty factor, as well as mixture composition on surface energy, chemistry and morphology are investigated.
PS-TuP-9 Synthesis of Polyethyleneglycol and Polystyrene-Like Films by Atmospheric and Low Pressure Plasmas
D. Merche, B. Nisol (Universite Libre de Bruxelles, Belgium); C. Poleunis, P. Bertrand (Universite Catholique de Louvain, Belgium); F. Reniers (Universite Libre de Bruxelles, Belgium)
The deposition and characterization of polystyrene (PS)-like and polyethyleneglycol (PEG)-like on a variety of substrates was investigated using “plasma enhanced chemical vapour deposition” (PECVD) under atmospheric pressure. For both PS and PEG-like deposits, an atmospheric RF plasma torch (Atomflo® 250C SurfX) was used, which consists of two closely metallic electrodes that are perforated to allow the process gas (Ar) to flow through. The precursors (styrene vapour or tetraglyme droplets) were introduced into the plasma downstream to the electrodes (remote plasma). Thin films of polystyrene were also synthesized in a home-built dielectric barrier discharge (DBD), in remote and direct HF plasma. Precursor vapours (styrene) are carried out by Ar or He. PEG-like films are also obtained at low pressure, in a capacitively coupled RF discharge obtained in a cylindrical Pyrex system. PEG-like films are known for their non-fouling property, which is an important feature for many biomedical applications. XPS and SSIMS were useful in order to ensure that the precursor for PEG like films are not too strongly fragmented by plasma treatment, in which case the protein-repelling property of the samples would be compromised. XPS permits to determine the C/O of PEG-like. The non-fouling properties of those samples have been studied with Bovine Serum Albumin (BSA) adsorption. XPS was used to track the presence of proteins on the surface by using the N1s signal coming out from the protein. pp-PS films deposited on PTFE were characterized by XPS. Spectra show a significant change in C1s energy value (towards lower binding energies) in comparison with untreated PTFE. We can also observe an important amount of oxygen, indicating a strong oxygen functionnalization into the film. Consequently, the pp-PS are more hydrophilic (water contact angle) in comparison to conventional PS. The influences of the parameters and the plasma source on the FTIR spectra (IRRAS) of plasma polymers deposited on steel (pp-PS) and on gold surface (PEG-like) was investigated. FTIR on pp-PS shows a decrease of the aromaticity, and that the films are branched, cross-linked and contain hydroxyl groups. The morphology of pp-PS films were evaluated by optical microscopy.
PS-TuP-11 Dry Etching Properties of TiN for Metal/High-k Gate Stack by using BCl3-based Inductively Coupled Plasma
C.-I. Lee (Ansan College of Technology, Korea); D.-S. Um, D.-P. Kim, G.-H. Kim, J.-C. Woo, C.I. Kim (Chung-Ang University, Korea)
Transistor has been scaled down since they were introducing, continually. However, it is accompanied with several problems like direct tunneling through the gate dioxide layer and low conductivity characteristic of poly-Si gate in nano-region. To cover these faults, study of new materials is urgently needed. This can be achieved by using an insulator that has a high dielectric constant. Recently, high dielectric materials like Al2O3, ZrO2, and HfO22 are being studied for equivalent oxide thickness (EOT). However, poly-Si gate is not compatible with high-k materials for gate-insulator. Poly Si gate with high-k material has some problems such as gate depletion and dopant penetration problems. Therefore, new gate structure or materials that are compatible with high-k materials are also needed. TiN for metal/high-k gate stack is conductive enough to allow a good electrical connection and compatible with high-k materials. So, it is a good barrier-layer material for interconnection. According to this trend, the study on dry etching of TiN for metal/high-k gate stack is needed. In this study, the investigations of the TiN etching characteristics were carried out using the inductively coupled BCl3-based plasma system and adding O2, Ne, and N2. Dry etching of the TiN was studied by varying the etching parameters including BCl3/Ar gas mixing ratio, RF power, DC-bias voltage to substrate, substrate temperature and gas addition. The plasmas were characterized by optical emission spectroscopy analysis and quadrupole mass spectrometer measurements. The chemical reaction on the surface of the etched TiN was investigated with X-ray photoelectron spectroscopy. Scanning electron microscopy was used to investigate the etching profile.
PS-TuP-12 Dry Etching of CoFeB Films using BCl3-based Inductively Coupled Plasma for MRAM Application
D.-S. Um, D.-P. Kim (Chung-Ang University, Korea); S.K. Lee, T.W. Jung (Hynix Semiconductor Inc., Korea); C.I. Kim (Chung-Ang University, Korea)
We have been in the personal computing age, but person and computer are harmonized uneasily with each other. Now, however, it is within the range of possibility. Recently many researchers are studying about ubiquitious that can provide users to access computers at anytime and everywhere. If we could make devices of smaller size, higher speed and lower power consumption, it can be realized. Magnetic RAM(MRAM) using tunnel junction is the device which can meet this requirement. Tunnel junction is consisted of two ferromagnetic layers separated by an insulator. One of the ferromagnetic layers is pinned-layer that fixed magnetization, whereas the other ferromagnetic layer is free-layer unfixed magnetization. Due to spin dependent electron tunneling one can thus have two distinct resistance states, associated with the magnetizations of the pinned and free layers parallel or anti-parallel. To improve device performance, one continuously aims to achieve higher tunnel magnetoresistance (TMR), better thermal stability and low ferromagnetic coupling between pinned and free layers. The use of amorphous CoFeB films in the free and pinned layers of optimized tunnel junctions enabled us to obtain a higher TMR coefficient, good transport properties upon annealing and lower coupling fields. Up to now, there are few papers on the plasma etching of CoFeB films using high density plasmas. However, those papers did not show the changes of component on the etched surface of CoFeB. In this study, CoFeB films were etched with using the inductively coupled plasma system and BCl3-based gas chemistries. Etch rate and selectivity of the CoFeB was systemically studied by the process parameters including BCl3/Ar gas mixing ratio, RF power, DC-bias power, substrate temperature. The changes of electron temperature, ion energy and radical volume densities were characterized by optical emission spectroscopy analysis, Langmuir prove and quadrupole mass spectrometer. The etch rate of CoFeB showed highly dependency on the DC bias voltage and pressure due to effective removal of etch byproducts from the exposed CoFeB surface in plasma by sputtering de-sorption. The changes of components on the surface of CoFeB were investigated with X-ray photoelectron spectroscopy. The variation of surface was also investigated with atomic force microscopy and scanning electron microscopy.
PS-TuP-13 Temperature Dependence on Dry Etching of Al2O3 Thin Films in BCl3/Cl2/Ar Plasma
X. Yang, D.-P. Kim, D.-S. Um, C.I. Kim (Chung-Ang University, Korea)
High-κ gate dielectrics and metal gate electrodes are required for enabling continued equivalent gate oxide thickness scaling, and hence high performance, and for controlling gate oxide leakage for both future silicon and emerging non-silicon nanoelectronic transistors. Significant progress has been achieved in terms of the screening and selection of high-κ insulators, understanding their material and electrical properties, and their integration into CMOS technology. During the etching process, the wafer surface temperature is an important parameter which influences the reaction probabilities of incident species, the vapor pressure of etch products, and the re-deposition of reaction products on feature surfaces. It mainly depends on the chuck temperature, the ion density and ion energy and the exothermicity of the etching reaction. In order to obtain the good etching environment, sudden changes of temperature in the plasma condition during the transition between processes steps should be well controlled .In addition, the true substrate temperature is difficult to monitor. For this reason, the experiment according to the substrate temperature change was progressed. In this study, we investigated that the effect of substrate temperature on the etch rates and selectivity of Al2O3 over Si and hard mask materials (such as SiO2, and Si3N4) thin film in inductively coupled plasma in function of (BCl3/Ar)+ Cl2 gas mixture ratio, RF power, DC bias and chamber pressure base on the substrate temperature increases from 10 °C to 80 °C,. The chemical reactions on the etched surface were investigated with using x-ray photoelectron spectroscopy. The morphology changes of exposed surface in plasma were investigated with atomic force microscopy. The etch profile was evaluated with SEM as functions of parameters.
PS-TuP-14 Aspect Ratio Dependent Twisting and Mask Effects During Plasma Etching of SiO2 in Fluorocarbon Gas Mixtures*
M. Wang, M.J. Kushner (Iowa State University)
During plasma etching of via-like structures having high aspect ratios (HAR > 10), twisting is sporadically observed. This is where an otherwise straight feature will turn from the vertical. Twisting may occur in only a few percent of features among other features that have unaltered profiles. The effect is most frequent when feature size openings to the plasma are only tens of nm. Twisting in plasma etching of SiO2 has been computationally investigated using the Hybrid Plasma Equipment Model to obtain the energy and angle distribution of ions and neutrals; and the Monte Carlo Feature Profile Model to predict profiles. The basic operating conditions are a capacitively coupled plasma sustained in Ar/C4F8/O2 = 80/15/5 (1 kW, 10 MHz, 40 mTorr, 300 sccm). Parametric investigations were made while varying aspect ratio (10-40), height of the photoresist mask, power deposition and reaction probabilities (e.g., angular dependence of scattering). When including charging, features should be resolved with atomic-scale resolution to eliminate numerical effects. We found that as the feature size decreases, the flux entering the feature becomes more stochastic in nature. This randomness in the flux can then lead to variations in both the total etch rate on a feature-to-feature basis as well as on the profile. For example, preferential polymer buildup on one side of the feature may produce asymmetric etching. These effects are magnified when including charging as the stochastic nature of the flux produces errant local electric fields that deflect ion trajectories. The height and character of the mask material potentially has an important role in twisting. The photoresist height contributes to the effective aspect ratio; and its electron and ion scattering characteristics contribute to deliver of charge deeper into the feature.


1*Work supported by the Semiconductor Research Corp., Micron Inc. and Tokyo Electron Ltd.

PS-TuP-15 The Analysis of ZrO2 Thin Films Etching in BCl3/Cl2 Inductively Coupled Plasma
H.-J. Kim, D.-P. Kim, G.H. Kim, J.-C. Woo, D.-S. Um (Chung-Ang University, Korea); C.-I. Lee (Ansan College of Technology, Korea); C.I. Kim (Chung-Ang University, Korea)
As feature size of CMOS device has been decreased 100 nm, the new material has required to substitute for SiO2 as gate dielectric material. The silicon oxide for gate reached a physical limit owing to very high gate leakage current of 10 A/cm2 for very thin gate SiO2 of below 1nm. Therefore, high dielectric constant materials, such as HfO2, ZrO2, and Al2O3 have attracted a great attention. Among them, ZrO2 is the most candidate because of its high dielectric constant of 20 ~ 25, wide bandgap of 5~7 eV, and thermal stability with Si. In order to obtain a small feature accurate pattern transfer, development of plasma etching process for ZrO2 thin film is important problem to be solved. There are few papers on the etch of ZrO2 thin films with using helical resonator plasma and electron cyclotron plasma in Cl2/BCl3. They reported that etch rate of ZrO2 is limited by the low volatile etch by product such as ZrCl2, but the etch rate can be accelerated by the addition BCl3 which can be effectively remove oxygen from the surface of ZrO2. However, there is no relationships between input parameters and plasma chemistry as well as surface reactions. In present work, the etching characteristics of ZrO2 thin film was investigated with using inductively coupled BCl3/Cl2 plasma. ZrO2 thin film was deposited on Si substrate by atomic layer deposition (ALD). The variation of etch rate and selectivity was monitored while additive gases was varied in BCl3/Cl2 plasma. Simultaneously, the etch behavior of ZrO2 was investigated with variation of RF power, DC bias voltage, and pressure. To understand the influence of additive O2, He or N2 into BCl3/Cl2 on the electron temperature and the density of radicals, Langmuir probe (LP), optical emission spectroscopy (OES), quadrupole mass spectromter (QMS) was used during etching process.
PS-TuP-16 Effective Measurements of Plasma Process-Induced Damage Related to Dielectric Integrity Degradation on Gate Oxide using Practical Structure
J. Lee, H. Lee, H. Kim (Samsung Electronics, Korea); I.S. Chung (Sungkyunkwan University, Korea)
Monitoring techniques are required to understand the root cause of the damage and how to optimize the process or equipment. Many techniques for plasma damage monitor were introduced.1,2 But it was difficult for them to identify the plasma process-induced damage because of unrealistic and complicated structures. Therefore, the plasma process was optimized and verified with newly-designed test structure to monitor wafers. The presented structure based on an unconventional antenna structure is very simple but effective to measure the plasma charging damage, which correlates to real circuit performance, such as parametric shifts, hot carrier response, and dielectric integrity degradation3. It was composed of various antenna ratios, active areas, and patterned features for detecting electron shading effect, plasma non-uniformity and ion bombardment damage. Electrical tests including threshold voltage shift, hot carrier stress, and breakdown voltage have been performed to detect plasma damage.


1 An Efficient Method For Plasma-Charging Damage Measurement, K. P. Cheung, IEEE ELECTRON DEVICE LETTERS, 11(1994)460.
2 A Test Structure for Plasma Process Charging Monitor in Advanced CMOS Technologies, Sang U. Kim, IEEE, (1997) 57.
3 Plasma damage in thin gate MOS dielectrics and its effect on device characteristics and reliability, Tomasz Bro_zek, Microelectronics Reliability, 40(2000)625

PS-TuP-17 Reaction Mechanisms and Profile Evolution for HfO2 High-K Gate-stack Etching: Integrated Reactor and Feature Scale Modeling*
J. Shoeb, M.J. Kushner (Iowa State University)
To minimize leakage currents resulting from the thinning of the insulator of the gate-stack of field effect transistors, high-k metal oxides, and HfO2 in particular, are being implemented as a replacement for SiO2. To speed the rate of processing, it is desirable to etch the gate stack (e.g., metal gate, anti-reflection layers, dielectric) in a single process while having selectivity to the underlying Si. Plasma etching using Ar/BCl3/Cl2 mixtures have been shown to effectively etch HfO2 while having a good selectivity with respect to Si. In this talk, we discuss results from integrated reactor and feature scale modeling of gate stack etching in chlorine plasmas. The stack consists of an erodible photoresist mask, metal gate and HfO2 with underlying Si (and possibly anti-reflection layers). Reactant fluxes were obtained from reactor scale modeling of inductively and capacitively coupled plasma tools using the Hybrid Plasma Equipment Model. Surface reaction mechanisms were developed using its Surface Kinetics Module. The mechanisms were implemented in the Monte Carlo Feature Profile Model with which etch profiles are predicted. We found that BClx species produced by electron impact in the plasma react with HfO2 which, under ion impact, form volatile etch products such as BxOCly and HfClx. Selectivity to Si is achieved by boron creating Si-B bonding as a precursor to the deposition of BClx polymer, which slows the etch rate relative to HfO2. The low ion energies required to achieve this selectivity then challenge one to obtain highly anisotropic profiles in the metal gate portion of the stack. Validation was performed with data from the literature. Results will be discussed from parametric studies of process variables (e.g., gas mixture, power, bias) on etch rate and profile.

*Work supported by the Semiconductor Research Corp.

PS-TuP-18 A Reduced Model for Etch Rate Prediction Based on Plasma Parameters
M. Klick, L. Eichhorn, R. Rothe (Plasmetrex GmbH, Germany)
The virtual metrology is the prediction of plasma etch rates and critical dimensions is based on measurements of pre-process values and plasma parameters. This can be realized by a self-consistent model of plasma and process or non- self-consistent (empirical) model with plasma parameters measured in real-time and in situ. A self-consistent plasma model must describe the complete plasma process. Already the real-time solution for a self-consistent plasma model is impossible, in particular due to large amount of also chemical mechanisms. The effort can be reduced dramatically by usage of plasma parameters, describing the main physical and chemical mechanisms. The most important issue of development of a chemical / physical model is to identify the key parameters. Tool parameters reflect only the tool properties but not the real process. The most important process parameters, called key parameters, are plasma density and electron collision rate by SEERS (physics), RF-parameters by VI-probe, radical/polymer concentrations by OES (chemistry). Our reduced approach for reactive ion etching as described above assume a combination of a physical (sputter) effect, a pure chemical (surface) reaction, and a physical-chemical mechanism. Despite the pressure is usually kept constant, the real important parameter is the density of the gas (neutrals) which depends on the temperature additionally. The gas temperature is usually not available but replaced here by the electron collision rate which is proportional to the gas density and so reciprocally proportional to the gas temperature. The model was applied to oxide etch with F-chemistry, the unknown coefficients were determined and prediction error was shown to be less than 5%.
PS-TuP-19 Molecular Dynamics Simulation of Si Etching by Monoenergetic Br+, Br2+, H+, and HBr+ Ions Generated in HBr Plasmas
T. Nagaoka, H. Ohta, K. Eriguchi, K. Ono (Kyoto University, Japan)
Dry processing technology with chemically reactive plasmas has been widely utilized for the fabrication of semiconductor devices. At present, HBr plasmas are standard for Si etching processes (e.g., gate etch and shallow trench isolation etch in the fabrication of SRAM). Here we first report molecular dynamics (MD) simulation of Si etching by HBr or Br2 plasmas. The Simulation procedure is as follows. In the 3D simulation cell (cross section=3.22 nm2, depth = about 5 nm), about 1,500 silicon atoms are initially located in the structure of diamond lattice. Atoms in the bottom layer are fixed and periodical boundaries are imposed in the horizontal direction. To this Si(100) substrate, 20-300 eV ions are impinged in the direction normal to the surface. In this study, we used an improved Stillinger-Weber interatomic model partially including multibody interaction. Parameter sets for Si/H/Br were newly determined based on ab-initio data. We focused on monochromatic beam etching by Br+ (Cl+), Br2+ (Cl2+), H+, and HBr+ ions without radicals. First, we confirmed the different etching characteristics between cases of Br and Cl. Yields by Br+ and Br2+ were lower than those by Cl+ and Cl2+ at the same ion energy. This tendency agrees with experimental results.1 Additionally, yields by diatomic ions were higher than those by monatomic ions. The energy dependence of etch yield will be presented. Secondly, we estimated the halogen coverage. The Br coverage for Br+ impact was lower than Cl coverage for Cl+ impact. For the case of Br+ with an ion energy of 50 eV, the depth of reaction layer and Br coverage were about 20 Å and 7.7×1014 cm-2, respectively. On the other hand, for Cl+ impact, the depth of the reaction layer and Cl coverage were about 30 Å and 1.7×1015 cm-2, respectively. These coverages are in good agreement with experimental results of 6.0×1014 cm-2 and 1.0×1015 cm-2 using HBr and Cl2 plasmas, respectively, where a dc bias voltage is -35V.2 In this conference, the effect of H+ on etching mechanisms will be also discussed.


1S. A. Vitale et al., J. Vac. Sci. Technol. A 19,2197 (2001).
2 C. C. Cheng et al, J. Vac. Sci. technol. A 13,1970 (1995).

PS-TuP-20 A Novel Interatomic Potential Model for MD Simulation of Si Etching by Cl+/Br+ Containing Plasmas
H. Ohta, T. Nagaoka, K. Eriguchi, K. Ono (Kyoto University, Japan)
Plasma-surface interaction is an important research subject both academically and industrially. Particularly, the understanding of interaction between chemically reactive plasmas and semiconductors is inevitable for further improvement in the fabrication of semiconductor devices. At present, HBr plasmas are utilized for state-of-the-art fine Si etching processes. However, fundamental experimental data were not sufficient except for some plasma experiments.1 In addition, potential models for Si/F and Si/Cl systems were only available for Si etching simulations. Here we present an novel interatomic potential models to realize classical molecular dynamics (MD) simulation of Si etching by HBr plasmas. Our simulation target is Si etching by HBr or Cl2 plasmas. First, the scheme to construct potential function was renewed, where all parameters could be systematically determined based on ab-initio data obtained from quantum chemical calculation. As a potential form, we selected the well-known Stillinger-Weber (SW) model, where the total potential is expressed by the sum of two- and three-body functions. SW model could reproduce ab-initio data with high accuracy when systems include only two or three atoms. Secondly, a new discipline to construct potential model is also proposed. We clarified how the potential functions affect etching characteristics in MD simulations.2 Until now, SW potential models for etching simulation were determined on the basis of potential energies calculated for small clusters while the energies for ion penetration and stay in interstitial sites have not been considered. However, the latter crucially affects the results of etching simulation, especially the morphology of the reaction layer. Then, the accurate estimation of potential energies for ion penetration in interstitial sites is essential for qualitative improvement of etching simulations. After careful examination, it was founded this energy was overestimated when the original SW model was used. Based on this fact, we proposed an improved SW model, where a new term is added to three-body potentials. In this conference, we present a detail derivation of the new model and the comparison between results by old and new SW models.


1e.g., S. A. Vitale et al., J. Vac. Sci. Technol. A 19, 2197 (2001).; C. C. Cheng et al., J. Vac. Sci. Technol. A 13, 1970 (1995).
2A. Iwakawa et al., to be published in Jpn. J. Appl. Phys.

PS-TuP-21 Numerical Simulations for a Radio-Frequency Micro-Atmospheric Pressure Plasma Jet and Coupling with Laser Diagnostics
J. Waskoenig, K. Niemi, T. Gans (Queen's University Belfast, Northern Ireland)
Atmospheric pressure plasmas in particular micro-discharge devices have tremendous application potential and are already used and targeted for a variety of technological and bio-medical applications. Micro-atmospheric pressure plasma jets (μ-APPJs) can provide high concentrations of radicals at a low gas temperature, particularly for modification of sensitive surfaces, such as in biomedicine or for surface coatings. Nevertheless the fundamentals of these non-equilibrium plasmas at ambient pressure are only rudimentarily understood. In general, the diagnostics of atmospheric pressure plasmas is extremely challenging, therefore numerical simulations offer a further insight into these discharges. The presented 1D-model is a numerical fluid-model along/across the discharge gap for a μ-APPJ. Dual frequency (2f) excitation of the μ-APPJ promises enhanced efficiency concerning the radical production and additional control for the plasma production. The discharge dynamics of the 2f excitation is investigated in various parameter ranges. Modelling and numerical simulations are however mainly restricted due to the lack of available data, particularly for surface processes which are crucial at these small dimensions because of the extraordinary high surface to volume ratios. Using experimentally measurable quantities as fixed input parameters of the model offers the opportunity to overcome this lack of available data. The μ-APPJ has been specially designed to provide an excellent optical diagnostic access to the discharge volume. Absolute atomic radical densities can be measured using two-photon absorption laser-induced fluorescence spectroscopy for use as a fixed input parameter in the model. Absolute measurements require detailed knowledge of collisonless de-excitation processes in particular under atmospheric pressure conditions. This can be obtained from the effective fluorescence decay rate (estimated lifetime of about 100 ps). The required temporal resolution can be achieved using a tuneable UV Fourier-limited picosecond laser system (1 cm-1, 10 ps). Within the simulation the sticking coefficient for atomic oxygen loss at the electrode surfaces is varied until consistency with the locally measured atomic oxygen ground state density is reached.
PS-TuP-22 A Comprehensive 3D Fully Coupled Model of a Gas Discharge for the Simulation of Magnetron Sputtering Systems
F.J. Jimenez (University of Alberta, Canada); D. Field (NuCryst Pharmaceuticals, Canada); S. Ekpe, S.K. Dew (University of Alberta, Canada)
Sputter deposition is a well established technique underlying a wide range of technological applications. However, the system is complex, involving coupled interactions of plasma, target, transport and substrate. Several models have been developed to explain and to optimize the process conditions. Nevertheless, the majority of these models excluded or simplify some key parameters missing the benefits that may arise using a detailed model. We present a comprehensive 3D coupled model where each part of the process is isolated in modules. Transport of charged and neutral particles is solved using a hybrid algorithm where energetic particles are followed individually using a Direct Monte Carlo (DMC) approach and thermalized particle transport is described by a computational fluid dynamics model modified to account for the nonuniform magnetic field. The plasma model is solved self-consistently using an octree grid with local refinement in the region next to the cathode to resolve the thin sheath typical of magnetron sputter systems. The highly coupled system of partial differential equations is numerically solved using a modified Newton method. An iterative approach is used to surmount the coupling arising between the glow discharge and the rarefaction and heating of the background gas. For experimental verification, a planar magnetron with an Aluminum target has been used as the reference system. The discharge has been characterized using a custom Langmuir probe. Plasma densities are shown to increase with power and pressure as would be expected. Electron temperature on the other hand decreases with pressure and power for the process conditions studied (5-40 mTorr, 75-300 W). At high pressures and/or high powers, the rate of reduction in electron temperature decreases, suggesting the effect of process gas rarefaction. At these pressures and powers significant rarefaction has been observed indicating a trend between this effect and plasma parameters. This may suggest a more decisive role of the gas-plasma interaction when modeling magnetron sputtering systems in this pressure regime.
PS-TuP-23 Kinetic Simulations of Dielectric Facing Plasma and Sheath under Application of Microwave Energy
D. Smithe (Tech-X Corporation); R. Bravenec (Tokyo Electron America, Inc.); P. Stoltz, C. Roark (Tech-X Corporation); M. Funk, L. Chen (Tokyo Electron America, Inc.); E. Kase (Tech-X Corporation)
Generation and heating of plasmas by microwaves to an overdense state (ω<ωpe), where the waves should be cut off, is not completely understood. We study sheath formation and behavior at the interface between an insulating dielectric and an un-magnetized plasma, using electromagnetic particle-in-cell simulation techniques.1 Various scenarios are of interest here, including both situations in which the plasma is under-dense and over-dense, or transitions from under-dense to over-dense. In the case of over-dense plasma, we look at situations involving incident electromagnetic radiation resulting in field components both parallel and perpendicular to the plasma interface, and are interested in the skin-depth penetration of the waves into the plasma. Of particular interest is the resonance of the EM waves at the location in the sheath where the wave frequency matches the plasma frequency and can serve as the major source of heating of over-dense plasmas2 The simulations include the effects of ionization, and allow us to study the buildup of plasma density associated with ionization in the presence of the large fields of the RF-enhanced sheath. The ionization model is a Monte-Carlo type model, with energy dependant cross-section.3 We are also studying the effects of secondary emission processes from the dielectric interface. For example, copious secondary emission is seen to reduce or even momentarily reverse the sign of the sheath. Our secondary emission model4 was originally designed for metallic emission surfaces, but it being re-engineered to treat secondary emission from dielectric materials. It allows for energy and incident angle dependant yield, and produces a specific energy spectrum of outgoing particles. The overall goal of this work is to develop an analytical or tabular model of the sheath for use in fluid models of plasma.


1 “VORPAL: a versatile plasma simulation code,” C. Nieter and J. R. Cary, J. Comp. Phys., vol. 196, pp. 448–472, 2004.
2 “High energy electron generation in surface-wave-produced plasmas,” Yu M. Aliev, V. Yu Bychenkov, A. V. Maximov, and H. Schluter, Plasma Sources Sci. Tech. 1 (1992) pp. 126-131.
3 Theory and Design of Charged Particle Beams, Martin Reiser, Wiley, New York, 1994.
4 “Probabilistic model for the simulation of secondary electron emission,” M. A. Furman and M. T. F. Pivi, Phys. Rev. ST Accel. Beams 5 (2002).

PS-TuP-24 Effects of Etching-Mask Geometry and Charging on Etching Profile Evolution
H. Fukumoto, H. Ohta, K. Eriguchi, K. Ono (Kyoto University, Japan)
Two-dimensional etching profile evolution in two different geometries, an axisymmetric hole and an infinitely long trench, has been calculated to clear effects of etching-mask geometry and charging on etching profile evolution. In the simulation, SiO2 etching by fluorocarbon plasmas is assumed because of widely employed processes for the fabrication of contact and via holes in the SiO2 film. The model takes into account the transport of particles in microstructures, together with surface reactions therein through sputtering, ion-assisted etching, chemical etching, and deposition. The model includes ions and neutrals (CFx+, CFx, F; x=1-3) coming from the plasma, under different conditions of particle temperature, density, and ion energy. The neutral particles from the plasma onto substrate surfaces are assumed to travel in microstructures with diffusive reflections on feature surfaces, while the ions accelerated through the sheath on the substrate travel with specular reflections on feature surfaces. The cell removal method is employed to represent the feature profile evolution, where the SiO2 is represented by two-dimensional discrete cells. Numerical results indicate that the etching profiles of hole and trench have the similar tendency under varying input parameters such as plasma species densities, ion energy, and mask aspect ratio. However, the etching-mask geometry shows some differences in the two structures; the resulting profile is narrower and shallower in the hole than in the trench, where the incident neutral fluxes are more reduced in the hole. Moreover, the profile of the trench has lateral etches such as undercut and bowing on sidewalls. The velocity distribution of neutral particles contributes to the difference of the etching profile evolution in the two structures; in effect, the velocity distributions are the more isotropic in the trench, because less neutral particles interact with mask sidewalls in the trench. Thus, it follows that geometrical structures contribute significantly to the behavior of neutral particles therein, and characterize the resulting etched profiles. The etching-mask geometry and the SiO2-etched feature also make the differences in charging potential at the feature bottom in the trench being lower than in the hole, because the trench feature surface obtains more electron flux owing to its geometrically smaller shadowing effect.
PS-TuP-25 Plasma Surface Texturing of Metals
E. Park, K. Casey, M. Morud, K. Taylor (Medtronic, Inc.)
A novel plasma process for creating a nanometer and micron-scale textures on MP35N alloy surfaces using radio-frequency (RF) inert gas plasmas was investigated, with a focus on characterizing the relationship between process variables and the resulting microstructure. This unique plasma texturing technology provides several advantages over other coating-based texturing processes. Because it is a surface modification process, coating delamination and loose particulates, which would cause serious problems in biomedical applications, are not of concern. Possible applications of the textured surfaces include drug reservoirs, surfaces that promote tissue or bone in-growth, and any applications benefited by high surface areas. In the plasma texturing process, metal samples were placed directly on the substrate holder that was electrically connected to an RF electrode. Typical process parameters for Ar plasma texturing included 200W to 800W of power, pressures of 20 mTorr to 80 mTorr, and process times of 8 min to 10 min. A variety of surface textures with differently sized features have been formed varying from individual pillars to three dimensional, interconnected porous structures. The microstructures that evolve were believed to result from the loss of material from the metal sample, due to the combination of interactions of Ar ions with the sample and subsequent heating of the metal surfaces. The amount of material removed from MP35N alloy samples during texturing ranged from 4 to 10 % of the initial mass of the sample depending on the degree of texturing. Process variables, including RF energy and pressure, as well as properties of the material to be textured (thermal and electrical transport properties and sample geometry) were all found to affect the degree of texturing and type of microstructure formed. To create surfaces with more complex textures, the plasma textured surface has been modified by subsequent surface treatments and depositions. This secondary process can form additional microstructures on the already textured surface or modify surface chemistry and properties.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule