AVS2008 Session TF-ThP: Aspects of Thin Films

Thursday, October 23, 2008 6:00 PM in Room Hall D

Thursday Evening

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2008 Schedule

TF-ThP-1 Surface Roughness of Amorphous Carbon Nitride Films Prepared by rf-Reactive Sputtering
S. Kikuchi, M. Aono, N. Kitazawa, Y. Watanabe (National Defense Academy, Japan)
Amorphous carbon nitride (a-CNx) thin films were deposited on silicon single crystal substrates by rf-reactive sputtering method using a graphite target, and after deposition the films were exposed to oxygen plasma so as to be modified on their surface. The effect of the substrate temperatures, deposition time and oxygen plasma treatment on the surface roughness has been studied. The substrate temperature was varied from room temperature to 853 K and the deposition time was changed from 10 to 180 min. Oxygen gas of about 20 Pa was discharged by rf-power and oxygen plasma was generated. Plasma treatment time was changed from 10 to 60 sec. Film composition and the chemical bonding states were analyzed by X-ray photoelectron spectroscopy (XPS) and Fourier transform infrared spectroscopy (FT-IR). Film surface was observed by atomic force microscopy (AFM). AFM observations has revealed that the as-deposited film surface is uniformly covered with particle-like features in the early stage of deposition and the surface changes to be covered with broccoli-like features with increasing the deposition time and correspondingly the root-mean square roughness (RMS) increases from about 0.5 to 7 nm after 180-min deposition, while the RMS slightly decreases with the substrate temperature under the constant deposition time of 10 min. After exposure to oxygen plasma, the film surface was etched selectively and the RMS increases with the plasma treatment time. It should be mentioned that the etching behavior depends on the film deposition temperatures. XPS studies have shown that the bonding states between carbon and nitrogen change with the deposition temperature. This change may be related to the different etching behavior against oxygen plasma.
TF-ThP-2 Nanotribological Properties and Degradation of Ionic Liquid Films on Magnetic Tapes
M. Palacio, B. Bhushan (The Ohio State University)
New magnetic tapes with ultrahigh storage density are expected to encounter increasingly more intolerant head and tape path materials, such that the fatty acid mixtures and fluorocarbons that are currently used as tape lubricants may no longer provide adequate wear protection. Ionic liquids are promising as lubricants for magnetic tapes because of their desirable thermal properties. Characterizing the nanotribological properties and degradation of ionic liquids is a crucial step in evaluating the potential of these novel materials as the next generation lubricant for tapes. In this study, commercial AME tape was lubricated with two ionic liquids, 1-butyl-3-methylimidazolium hexafluorophosphate (BMIM-PF6) and 1-butyl-3-methylimidazolium octyl sulfate (BMIM-OctSO4), and were investigated for the first time with atomic force microscopy. The adhesive and friction properties of the ionic liquids were compared to the perfluoropolyether (PFPE) lubricant Z-TETRAOL. Tape durability studies were conducted by running the lubricated tape samples to failure. The tape life of the lubricated samples was compared to historical data on MP and ME tapes.
TF-ThP-3 Influence of Re-Deposition on Particle Generation
C.F. Lo (Praxair Electronics)
In physical vapor deposition (PVD), depending on the target material, target design and sputtering conditions, the bombarded atoms may re-deposit on to the lower erosion zone of the sputtered target. Due to difference in structure between the sputtering target and re-deposited layer, flaking may occur mainly induced by mismatch of coefficient of thermal expansion, resulting in particles generation and causing defects on substrate. Micro-arcing at the tip of re-deposited nodules is another potential particle source in target sputtering. In this report, re-deposition of many materials used for the semiconductor devices, such as Cu, Ti, Ta, W, WTi, W-Silicide and Cr, were discussed. Direct and indirect evidences of flaking and micro-arcing acting as particle sources to substrate are also presented in this report.
TF-ThP-5 Synthesis of SiGeC Alloy by the Modified Ablation Laser Technique*
J.G. Quiñones Galván, F. de Moure Flores, E. Mota Pineda, S. Cerón Gutiérrez (CINVESTAV-IPN, Mexico); A. Hernández Hernández (Escuela Superior de Física Matemáticas-IPN, Mexico); M. González Alcudia (CICATA-IPN Unidad Altamira, Mexico); J.J. Araiza Ibarra (Universidad Autónoma de Zacatecas, Mexico); M. Meléndez Lira (CINVESTAV-IPN, Mexico)
SiGeC has been the subject of interest because of the possibility of control the stress associated to the deposit of SiGe/Si heterostructures but also for the possibility to modify the SiGe properties to produce light efficiently. However, the major problem to deposited this alloy in the whole range of compositions is the low solubility of carbon in SiGe. We present the results of the characterization of SiGeC alloys produced by the modified ablation laser technique in which the desired SiGeC alloy composition target is prepared by ball milling1. The target is prepared as a powder of the right composition and subject to the ablation process. Films of the Si1-x-yGexCy alloys were prepared with 0.1
* This work is partially funded by CONACyT- Mexico.
1 M. González-Alcudia, A. Márquez-Herrera, M. Zapata-Torres, M. Meléndez-Lira and O. Calzadilla-Amaya, Adv. in Tech. of Mat. And Mat. Proc. J. 9, 81 (2007).

TF-ThP-8 Influences of Ceria-Mixed Abrasive Slurry on the Oxide-Chemical Mechanical Polishing
S.J. Han, Y.K. Lee, S.W. Park (Chosun University, Republic of Korea); Y.J. Seo (Daebul University, Republic of Korea); W.S. Lee (Chosun University, Republic of Korea)
In this paper, we have studied the chemical mechanical polishing (CMP) characteristics of mixed abrasive slurry (MAS) retreated by adding of ceria (CeO2) abrasives within 1:10 diluted silica slurry. The slurry designed for optimal performance should produce reasonable removal rates, acceptable polishing selectivity with respect to the underlying layer, low surface defects after polishing, and good slurry stability. The modified abrasives in MAS are evaluated with respect to their particle size distribution, surface morphology, and CMP performances such as removal rate and non-uniformity. As an experimental result, we obtained the comparable slurry characteristics compared with original silica slurry in the viewpoint of high removal rate and low non-uniformity.
TF-ThP-9 Improvement of Tetra-Ethyl Ortho-Silicate Oxide - Chemical Mechanical Polishing Characteristics According to the Cerium Oxide Dispersion Time
Y.K. Lee, S.J. Han, S.W. Park (Chosun University, Republic of Korea); Y.J. Seo (Daebul University, Republic of Korea); W.S. Lee (Chosun University, Republic of Korea)
CMP (chemical mechanical polishing) process has been attracted as an essential technology of multi-level interconnection. However, the COO (cost of ownership) is very high, because of high consumable cost. Especially, among the consumables, slurry dominates more than 40 %. So, we focused how to reduce the consumption of raw slurry. In this paper, CeO2 abrasive was added de-ionized water (DIW) and pH control as a function of KOH contents. And then, we have discussed the CMP characteristics as a function of abrasive dispersion time. We have also investigated the possibility of CeO2 - mixed abrasive slurry for the oxide CMP application. Note: Requested a Poster Session.
TF-ThP-10 Influence of Ar/O2 Gas Ratio on the Performance of Sputtered-Deposited TiO2 Electrodes for the Application of Dye-Sensitized Solar Cells
M.F. Hossain, S. Biswas, M. Shahjahan, T. Takahashi (University of Toyama, Japan)
Dye-sensitized solar cells (DSCs) have attracted great interest because of their potential application as a cost effective and alternative to the p-n junction solar cells. Conventional technology of DSCs employs colloidal films of titanium oxide (TiO2) to which a ruthenium dye is attached. In such conventional processes, porous TiO2 electrode also gives rise to several undesired characteristics, such as low conductivity and charge density and non-uniform over the large area. Reactive magnetron sputtering is a very promising technique for large-area uniform coating to preparation high quality TiO2 thin films with strong adhesion to substrate and it has potential to control the crystallographic phase and micro-structure through the process of modification of different sputtering parameters. In this study, an effort has been made to fabricate the DSCs with sputter-deposited TiO2 electrode. Nanoporous TiO2 electrodes were successfully deposited on SnO2:F coated glass substrate by facing target reactive sputtering technique with 500 W dc input power, 2.0 Pa sputtering pressure and various sputtering Ar/O2 gas ratios such as, 8:2, 7:3 and 6:4. Ruthenium complex based-dye was used to sensitize these electrodes and carbon paste on SnO2:F coated glass was used as a counter electrode. The amount of dye incorporation was found to be highly dependent on the microstructure of the film with various gas ratios, as apparent from optical measurements. The surface morphology of the films has been observed by atomic force microscope and field emission scanning electron microscope. Incident photon-to-current conversion efficiency is calculated for all the solar cells with different TiO2 thin films to evaluate the economic viability of this technique. The variation of photoelectric conversion efficiency of the solar cells with TiO2 electrodes deposited at various gas ratios is discussed with the analysis of different microstructure of the TiO2 electrodes and the corresponding dye-incorporation.
TF-ThP-12 The Influence of N-dopping for Titanium Dioxide Thin Films on Photo-functional Properties
K. Tanaka, I. Takano (Kogakuin University, Japan)
TiO2 is anticipated as one of materials which are alternative for existing solar cell technology based on silicon. TiO2 shows relatively high reactivity and chemical stability under UV light whose energy exceeds the band gap of 3.2 eV in the anatase crystalline phase. The sun can provide an abundant source of photons. however, UV light accounts for the only small fraction (~5 %) of the sun’s energy compared to the visible region (45 %). Many techniques have been examined to achieve this purpose, including the doping of TiO2 with transition metals (such as Cr, Fe, Ni, V), but these doped materials suffer from thermal instability and an increased number of carrier recombination centers. Many research groups proposed to replace Oxygen by another anionic species (such as C, P, S, N, F) rather than incorporating transition metals into TiO2. Especially, Nitrogen-doped TiO2 is often used to improve the photocatalytic properties of TiO2 in order to achieve visible light response.1 In this study, the Nitrogen-doped TiO2 film has been prepared by reactive magnetron sputtering using a Ti target in an Ar/N2+O2 gas mixture. Composition and microstructure of these films were investigated by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. Chromatic change of a methylene blue solution was applied to a photocatalytic property. Light irradiation to the TiO2 film in a methylene blue solution was carried out using a commercial sterilizing lamp as ultraviolet light and a commercial fluorescent lamp as visible light. Transmittance of a methylene blue solution was measured by a spectro photometer. Furthermore, photocurrent between the TiO2 film and a platinum electrode was measured by a volt-ampere characteristic using an unresisted ammeter in a KCl solution of 0.5 mol/ℓ. In the case of the Nitrogen-doped TiO2 film, the higher photocatalytic property and photocurrent under a sterilization lamp were obtained at N2 gas flow rate of 0.6 sccm and 0.4-0.5 sccm, respectively. In the case of a fluorescent lamp, the photocatalytic property showed lower transmittance and photocurrent as compared with that of a sterilization lamp. But transmittance and photo current showed the maximum value at N2 gas flow rate around 0.5 sccm, so Nitrogen-dope effect was confirmed under a fluorescent lamp.


1 R.Asahi, et al.; Science, 293(2001)269.

TF-ThP-13 The Structural, Electrical and Optical Properties of ATO Films Prepared at Room Temperature by Radio Frequency Magnetron Sputtering for Transparent Electrodes
S.U. Lee (Sungkyunkwan University, Korea); W.S. Choi (Hanbat National University, Korea); H.J. Kim, B. Hong (Sungkyunkwan University, Korea)
Antimony-doped tin oxide (ATO) films were prepared on a 7059 Corning glass substrate by the radio frequency (RF) magnetron sputtering method using a SnO2 target mixed with Sb of 6 wt% at room temperature. The working pressure was varied from 5 to 15 mTorr in steps of 5 mTorr, and the RF power was varied from 100 to 175 W in step of 25 W at room temperature. The thickness of the deposited ATO films was about 150 nm ± 10. X-ray diffraction (XRD) measurements showed ATO films to be crystallized with a strong (101) preferred orientation as the RF power increased. The spectra revealed that the deposited films were polycrystalline and they retained the tetragonal structure. The grain size, which was 23.2 nm, was calculated from the XRD spectra using the Scherrer equation. ATO film deposited at a working pressure of 5 mTorr and RF power of 175 W showed the lowest resistivity of 8.6 x 10^-3 ohm.cm and the optical transmittance was 86.5 % in the visible range.
TF-ThP-14 Interface Control Effect of Nitrogen-doped Diamond-like Carbon by Ion Beam Assisted Deposition Method
K. Harada, I. Takano (Kogakuin University, Japan)
DLC (Diamond-like Carbon) constituting a class of new materials is an amorphous carbon including hydrogen and has similar properties of matter with a diamond. DLC film was formed by the ion beam evaporation method in the early 1970's,1 and after that has been manufactured by various methods such as CVD (Chemical Vapor Deposition) or PVD (Physical Vapor Deposition). Because the representative mechanical property of DLC is to show the high hardness and low friction coefficient, DLC is applied in various filed such as motor parts or tools. Also the electric properties of DLC are anticipated as a field emission source. In this study, mechanical properties were investigated about the interface between the DLC film and the substrate controlled by the ion beam assist. The ion beam assisted deposition method has many parameters on the film formation condition in comparison with other dry process methods. Therefore this method was anticipated in production of new characteristics such as a high adhesion film. The interface control was performed by changing two procedure. The first process was performed by an accelerating voltage of 12 kV with a current density of 40 μA/cm2 to obtain the high hardness property. The second process was performed by an accelerating voltage of 5 kV with a current density 40 µm/cm2 to obtain the low friction property. An N2+ ion beam and a C7H8 gas atmosphere were used on this ion beam assisted deposition method. Composition and microstructure of these films were investigated by X-ray photoelectron spectroscopy and Raman spectroscopy. The hardness was measured from an indentation method with a Knoop indenter. The friction coefficient was measured for an SUJ2 ball with a constant load 0.98 N until the sliding distance reached a length of 10m. As for the sample formed the mixing layer at the first process, mechanical properties were improved in the hardness and the friction coefficient. The Knoop hardness of the film showed 23 GPa. The sample formed using both of first process and second process showed 0.194 in the friction coefficient, because mechanical properties were improved by the interface condition. The depth profile of the mixing layer showed gradual change of C and Fe (as substrate material) in comparison with a sumple without mixing layer.


1S. Aisenberg , R. Chabot : J. Appl. Phys., 42, 2953 (1971).

TF-ThP-15 In Situ Spectroscopic Ellipsometry Studies of the Oxidation of Nickel Thin Films
J. Rhim, S. Lee, H. Jeong (Hanyang University, South Korea); D. Kim (Ewha Womans University, South Korea); I. An (Hanyang University, South Korea)
Nickel oxide film is an attractive material for electrochromic devices as well as resistance random access memory devices. In this work, e-beam evaporated nickel films are thermally oxidized in oxygen ambient at various temperatures. In situ spectroscopic ellipsometry is employed to study the oxidation process of nickel films. The optical and microstructural properties of nickel oxide films are deduced and these are compared with the electrical properties. When the nickel film is not fully oxidized, the optical properties of nickel oxide show the inclusion of nickel element. This film shows low resistivity and poor switching characteristics.
TF-ThP-16 Study of Vanadium Oxide Thin Films Deposited by Pulsed DC Sputtering using a V2O3 Target
K.E. Wells, S.S.N. Bharadwaja, M.W. Horn (The Pennsylvania State University)
Uncooled infrared focal plane arrays (IRFPAs) are the critical technology for night vision cameras needed for military and civilian applications. The two most widely used temperature sensitive imaging materials are vanadium oxide and amorphous silicon typically deposited by reactive ion beam sputtering and PECVD respectively. For future applications requiring higher speed and/or sensitivity, materials with high temperature coefficient of resistance (TCR) may be desirable. In this work, vanadium oxide thin films were made at room temperature by reactive pulsed DC (225 kHz) magnetron sputtering of a V2O3 target with 200 W power. Total pressure and partial pressure of oxygen were varied during deposition to determine their effects on the films properties. The TCR and resistivity values of the films were in the range of -3 to -5% (K-1) and 10- 200 kΩ-cm respectively. Both Atomic Force Microscopy (AFM) and Field Emission Scanning Electron Microscopy (FESEM) data established that the microstructures of the films follow the structure zone model with increased columnar size as total pressure is increased. Spectroscopic ellipsometry analysis indicated significant variation in the dielectric functions in the VOx films with similar electrical properties. We attribute this variation to either differences in porosity or oxygen content. The crystallinity of the films, evaluated by High Resolution Transmission Electron Microscopy (HRTEM) studies, was found to be substrate dependent. Films deposited for various durations with the same deposition parameters showed a lower resistivity with increased thickness.
TF-ThP-17 Atomic Layer Deposition of Lanthanum Based Oxides for High-K Gate Dielectrics
A. Hande, B. Lee, H.C. Kim, R.M. Wallace, J. Kim (The University of Texas at Dallas); X. Liu, M. Rousseau, J. Yi, D.V. Shenai, J. Suydam (Rohm and Haas Electronic Materials, LLC.)
Lanthanum oxide (La2O3) is gaining importance as one of the promising high-k candidates due to its superior properties such as a high dielectric constant (~27), large band gap and high electrical breakdown field strength. However, the hygroscopic properties of La2O3 leads to the formation of lanthanum hydroxide (La(OH)3) which causes deterioration of electrical properties such as, permittivity. Additionally, several studies have reported that a La based CVD process result in a high C concentration in the deposited films. In order to overcome these issues, we investigate two different processes; one is alternating layers of La2O3 and Al2O3 to form nano-laminate (LaxAlyO3) structures and the other is employing ozone as the oxidant. In this study, we deposited LaxAlyO3 films using atomic layer deposition (ALD) on H-terminated p-type Si (100) substrate using tris(N,N’-diisopropylformamidinato) lanthanum, La(iPrfAMD)3 as the La precursor while water and ozone employing as the oxidant. The physical properties of the deposited La-oxide using various characterization techniques such as HR-TEM, XPS, and XRD as well as electrical characteristics will be presented. Particularly, our in-situ XPS half-cycle study provides an insight on chemical composition modulation based on different process sequence. Acknowledgement: Rohm and Haas Electronic Materials, LLC. for financial support and Toshiba Mitsbushi Electric Industrial Systems Corporation (TEMIC) for providing a ozone generator (OP-H250 LT) for a high concentration ozone.
TF-ThP-18 Integrated UHV/ALD Reactor for Growth of Oxide Materials
K. Pradhan, A.E. Wierzbinski, P.F. Lyman (University of Wisconsin-Milwaukee)
We have constructed a novel chemical reactor for controlled growth of crystalline oxide layered structures using Atomic Layer Deposition. The instrument operates in the viscous flow regime, and the design is based heavily upon that of Elam, Groner, and George.1 However, we have interfaced this reactor to an existing UHV analytical chamber. The combination allows us to investigate not only the as-grown films, but also to study, on an atomic scale, the intermediate reaction sequences. Moreover, we can use established UHV techniques to prepare a well-defined starting surface for subsequent growth, and are able to modify the substrate at arbitrary points in the growth sequence. Initial results on the growth of ZnO films will be reported.


1 J.W. Elam, M.D. Groner, and S.M. George, Rev. Sci. Instrum. 73, 2981-2987 (2002).

TF-ThP-20 Deposition and Etching of Hexagonal and Cubic Boron Nitride
M. Darnon, D.A. Neumayer, G. Gibson, Y. Zhang (IBM Research)
Boron nitride is a material presenting a high thermal conductivity, a low dielectric constant and a high young modulus. Those properties make it a good material to be used in integrated circuits technologies. We present here a process to deposit cubic or hexagonal boron nitride from a high density plasma. The deposition is performed using an ultima high density plasma chamber from Applied Materials. We also present the etching properties of both phases of Boron Nitride using halogenated plasmas. The etching is performed in a DPS chamber from Applied Materials, using a 20 mTorr plasma, with 500W of source power and 100W of bias power. During the deposition, phase formation is found to be critically dependant on diborane, nitrogen and argon gas ratios and the bias utilized during deposition. Cubic boron nitride formation is found to be favored at the deposition/sputtering regime. A density of 2.9 g/cm3 for cubic boron nitride and of 1.8 g/cm3for hexagonal boron nitride is measured by XRR. The etch rate of hexagonal boron nitride is measured by ellipsometry. HBr/Ar plasmas present a very low etch rate (21nm/min). Addition of 25 sccm of Cl2 into the plasma doubles the etch rate. Fluorine-based plasmas etch much faster boron nitride than Bromine- or Chlorine-based plasmas. An eth rate of 146 nm/min is obtained using CF4/Ar, and the etch rate increases up to 511 nm/min with SF6/Ar plasma. The substitution of CF4 by a polymerizing gas such as CH2F2 leads to a decrease of boron nitride etch rate. The etch rate of cubic boron nitride is also measured. A ratio of 1.2 between hexagonal and cubic boron nitride etch rates is always obtained. Since the density ratio is 1.6, we can say that the structure of cubic boron nitride enhances its etch yield compared to hexagonal boron nitride. Thanks to the deposition and etching processes presented here, the integration of boron nitride in microelectronics technologies can be considered.
TF-ThP-21 Enhancement of Crystal Growth in μc-Si:H Thin Film Deposition by H Radical-Assisted Magnetron Sputtering and the Plasma Diagnostics
K. Fukaya, K. Sasaki, A. Tabata (Nagoya University, Japan); N. Knake (Ruhr-Universität Bochum, Germany)
Silicon thin films are promising materials for TFTs and solar cells. It is desirable to deposit Si thin films with good electrical characteristics onto various substrates such as a glass at a low temperature. Magnetron sputtering deposition is useful to prepare silicon thin films because of the advantage in not using explosive gases and consequently, a low equipment cost. We measured the Si atom density in rf magnetron sputtering plasmas with a Si target and Ar/H2 mixture gas by laser-induced fluorescence (LIF) spectroscopy. As a result, we found that the sputtering yield in the Ar/H2 mixture discharge was higher than that in the pure Ar discharge. This suggests that sputtering in the Ar/H2 mixture discharge is not simple physical sputtering but a process similar to reactive ion etching (RIE). Since the products of RIE are not Si atoms but molecular species SiHx (typically SiH4), the deposition mechanism similar to PECVD would be expected in magnetron sputtering of a Si target with the assist of chemical reactivity of H atoms. The objective of this work is to develop a new Si sputtering deposition system employing an H radical source. We expect the enhancement of the RIE effect by injecting additional H radicals produced in an ICP radical source. We prepared Si thin films by using simple sputtering with Ar/H2 mixture gas and H radical-assisted sputtering. In the case of the simple sputtering, an amorphous film was deposited at a low gas pressure of 5 mTorr. The crystalline volume fraction (Xc) increased with the gas pressure from 10 to 200 mTorr, and was saturated at gas pressures above 200 mTorr. In the case of the H radical-assisted sputtering, the Xc increased steeply with the gas pressure from 3 to 5 mTorr, and was saturated at gas pressures from 5 to 500 mTorr. It should be noted that the films deposited by the H radical-assisted sputtering crystallized even at gas pressures below 3 mTorr, where the film deposited by the simple sputtering did not crystallize. This result may be attributed to both or one of the following two effects. One is the supply of H radicals produced in the radical source to the growing film surface. The other effect is the enhancement of the production of molecule species SiHx by the RIE effect. The increases in the densities of molecular species SiHx may result in the enhancement of the H coverage on the growing film surface.
TF-ThP-22 Fabrication and Characterization of ZnO:(Ag-nano-particles) Thin Films Deposited by Spray Pyrolysis
G. Alarcon, J. Guzman-Mendoza, M. Aguilar-Frutis, E. San Martín-Martínez, L. Ortega (CICATA, IPN, Mexico); C. Falcony (CINVESTAV-IPN, Mexico)
Zinc oxide thin films with and without the addition of silver (Ag-nano-particles or AgNO3) were deposited using the ultrasonic spray pyrolysis technique using Zn(acac), in the temperature range from 400 to 550 ºC. The optical, structural and electrical properties of the films deposited were studied. The addition of silver was performed through either a solution containing a dispersion of Ag nano-particles or an AgNO3 solution, sprayed in parallel to the Zn containing aerosol. A comparison between both types of films, as well as with those without silver will be presented. The films resulted dense and transparent in all the cases. The as deposited photoluminescence characterization of the films was measured at room temperature presenting the characteristic peaks 514 and 390 nm associated with ZnO. The electrical characterization of the films was carried out by means of Impedance Spectroscopy. In addition, a structural characterization consisting of X-ray diffraction, energy dispersive spectroscopy, and scanning electron microscopy was also performed in the films.
TF-ThP-23 Growth Rate Induced Epitaxial Orientation of Cerium Oxide Thin Films on Sapphire (0001)
P. Nachimuthu, S.V.N.T. Kuchibhatla, F. Gao, V. Shutthanandan, M.H. Engelhard (Pacific Northwest National Laboratory); Z.Q. Yu (Nanjing Normal University, China); C.M. Wang (Pacific Northwest National Laboratory); S. Seal (University of Central Florida); S. Thevuthasan, W. Jiang (Pacific Northwest National Laboratory)
Cerium oxide based materials are widely used in catalysis, solid oxide fuel cells (SOFCs), and for microelectronic applications. Performance of this functional oxide in various applications is dependent on the crystallographic planes of ceria and their participation in various chemical reactions. High-quality ceria (CeO2) films were grown on sapphire, (Al2O3), (0001) substrates using oxygen plasma-assisted molecular beam epitaxy. The epitaxial orientation of the ceria films has been found to be (100) and (111) at low (< 8 Å/min) and higher growth rates (up to ~30 Å/min), respectively. Reflection high energy electron diffraction (RHEED) measurements show that CeO2 (100) film grows as three-dimensional islands, while CeO2 (111) proceeds with layered growth. In CeO2(100) films, although the growth appears to be 3-D island growth, Rutherford backscattering spectrometry (RBS) measurements along channeling geometry clearly demonstrates that the films have high crystalline quality in comparison to CeO2(111) films. X-ray diffraction (XRD) measurements show that there are three in-plane domains in the CeO2 (100) film and those are attributed to the three-fold symmetry in Al2O3 (0001) surface. Molecular dynamics (MD) simulations have been carried out to understand the stability of different orientations of ceria on the sapphire (0001) substrate. The experimental observations have been supported very well by the simulations. Excellent oxygen sub-lattice match between sapphire and ceria are proposed as a major driving force for achieving high quality epitaxial films, as opposed to oriented, polycrystalline films. High resolution transmission electron microscopy (HRTEM), atomic force microscopy (AFM) measurements have been carried to study the structure and surface morphology of the ceria films. X-ray photoelectron spectroscopy measurements were used to find the oxidation state of cerium in the as-grown films.
TF-ThP-24 Preparation of Very Thin GZO Transparent Electrodes with a Low Resistivity by a VAPE Method
T. Minami, T. Miyata, T. Ito, Y. Honma (Kanazawa Institute of Technology, Japan)
Although transparent conducting impurity-doped ZnO thin films with a low resistivity have been prepared even on low temperature substrates, there is still a problem of the resistivity of the deposited films considerably increasing as the thickness is decreased, irrespective of the deposition methods used. This paper describes the improvements in the thickness dependence of resistivity as well as in other properties obtained in very thin low resistivity Ga-doped ZnO (GZO) thin films prepared using a newly developed vacuum arc plasma evaporation (VAPE) method. It should be noted that in film preparation by VAPE, the substrate normally must rotate or travel linearly during the deposition in order to improve distributions in film thickness and electrical properties across the substrate surface. In this work, however, the substrate remained fixed at a specific position during each deposition. The relative position between the flow direction of the arc plasma and the substrate surface and the VAPE method deposition conditions were optimized to further improve the thickness dependence of the resistivity in transparent conducting GZO thin films deposited on glass substrates. It was found that the obtained resistivity of GZO films deposited with a thickness below about 50 nm at a pressure of 0.15 Pa was strongly dependent on the substrate position relative to the arc plasma flow; it also was affected by the substrate temperature and the Ga content doped into deposited films. In addition, the obtainable resistivity is related to the crystallographical structure, crystallinity and surface morphology of deposited GZO thin films. A resistivity as low as 5X10-4Ωcm was obtained in GZO thin films prepared with a thickness of approximately 30 nm under the optimized substrate position and deposition conditions.
TF-ThP-25 Relationship Between Oxide Targets and Properties of Impurity-doped ZnO Thin Films Deposited by DC Magnetron Sputtering
J. Oda, T. Miyata, T. Minami (Kanazawa Institute of Technology, Japan)
This paper describes the relationship between the targets used and the obtained properties of transparent conducting impurity-doped ZnO thin films prepared by a dc magnetron sputtering (dc-MS) deposition method using various sintered oxide targets. The oxide thin films were deposited on glass substrates using a dc-MS apparatus with various sintered impurity-doped ZnO targets; both the target and substrate were fixed during the depositions. In this work, we used various commercially available high-density sintered impurity-doped ZnO disk targets (diameter of about 150 mm). All thin films were prepared with a thickness in the range from 30 to 200 nm; the substrate temperature was controlled to a constant 200°C during sputtering depositions. It was found that the amount of observable arcing generated during the sputter deposition was considerably dependent on the targets used; in addition, it always increased as the supplied dc power was increased. The obtained minimum resistivity (the resistivity near the substrate location that corresponds to the target center) and the spatial distribution of resistivity on the substrate surface in deposited impurity-doped ZnO thin films were both dependent on the targets used; the varied target properties included density, resistivity and the kind and content of doped impurity. In addition, the film thickness dependence of the obtained resistivity and the stability of the resistivity during long term tests at high temperature in a highly moist environment were considerably affected by the targets used. Improvements in the thickness dependence and the distribution on the substrate surface of resistivity were successfully obtained by varying the target properties. The obtained improvements in deposited films will be discussed on the basis of the relationship between the properties found in both the deposited films and the targets used.
TF-ThP-26 Transparent Conducting Si-co-doped AZO Thin Films Prepared by Magnetron Sputtering Using AZO Powder Targets Containing SiC
J. Nomoto, T. Miyata, T. Minami (Kanazawa Institute of Technology, Japan)
Transparent conducting impurity-doped ZnO thin films are the best, and only practical, indium-free candidate suitable as a substitute for indium-tin-oxide (ITO) thin films used as transparent electrodes in liquid crystal displays (LCDs). However, they have not yet been used practically in LCD applications because of important obstacles such as a spatial distribution of resistivity and insufficient stability of resistivity in impurity-doped ZnO thin films prepared on low temperature substrates by conventional magnetron sputtering depositions. For the purpose of resolving these problems, we have demonstrated a new sputtering deposition technique that was developed to improve both the spatial distribution and the stability in resistivity; transparent conducting Al- and Si-co-doped ZnO (AZO:Si) thin films were prepared on glass substrates at approximately 200°C by rf magnetron sputtering using a powder mixture of ZnO, Al2O3 and carborundum (SiC) as the target. The SiC content was varied, but the Al2O3 content was held constant. It was confirmed that the Al and Si contents (Al/(Al+Zn) and Si/(Si+Zn) atomic ratios) in the deposited thin films are approximately equal to those of the powder targets used, but carbon was not detected in all the deposited films. It was found that the spatial distribution of resistivity improved as the Si content of deposited films was increased up to approximately 0.75 at.%; the resistivity of deposited films decreased at the location on the substrate surface corresponding to near the erosion area on the target. This decrease in resistivity is attributed to increases of both carrier concentration and Hall mobility. Thus, the improvement of resistivity distribution obtained in the newly developed sputtering deposition technique may be due to a reducing effect originating from the carbon produced by sputtering the SiC containing AZO target during the film deposition. In addition, it was found that the stability of resistivity during long term tests at a high temperature in a highly moist environment of Si-co-doped AZO thin films is better than that of non-Si-co-doped AZO films prepared with the same thickness under the same deposition conditions.
TF-ThP-27 Transparent Conducting AZO Thin Films Prepared Using Oxide Targets Sintered by Millimeter-wave Heating
T. Miyata, Y. Hara, K. Okada (Kanazawa Institute of Technology, Japan); H. Abe (Fuji Dempa Kogyo Co. Ltd., Japan); T. Minami (Kanazawa Institute of Technology, Japan)
In this paper, we describe the preparation of transparent conducting Al-doped ZnO (AZO) thin films by magnetron sputtering deposition (MSD) and pulsed laser deposition (PLD) using AZO targets sintered with a newly developed millimeter-wave (28GHz) heating technique. For the purpose of evaluating the millimeter-wave-sintered AZO targets, AZO thin films were prepared with various thicknesses on glass substrates at a temperature of 100-300°C by MSD or PLD using an AZO target sintered for about 30 min at a temperature of approximately 1250°C in an Ar gas or air atmosphere. For comparison, additional AZO thin films were prepared by MSD or PLD using an AZO target sintered for 5 h with a conventional furnace. The Al content (Al/(Al+Zn) atomic ratio) in sintered AZO targets was varied in the range from approximately 1.5 to 6 at.%. It was found that the obtainable resistivity of AZO thin films deposited using a millimeter-wave-sintered AZO target was less than or comparable to that produced in AZO films deposited using a furnace-sintered AZO target prepared with the same temperature and Al content. AZO thin films prepared with a thickness above 100 nm by PLD exhibited a low resistivity on the order of 2X10-4Ωcm. Using either type of target preparation, the obtainable resistivity of the deposited AZO films increased as the thickness was decreased; the thickness dependence of the obtainable resistivity in AZO thin films deposited using a millimeter-wave-sintered AZO target was comparable to that found in AZO films deposited using a furnace-sintered AZO target. Although the obtained resistivity and other properties in deposited AZO thin films were affected by the deposition method used, these properties were relatively independent of the sintering technique of the targets. It can be concluded that the newly developed energy saving millimeter-wave heating sintering technique is very well suited for producing sintered oxide targets.
TF-ThP-28 Study of Semiconductors III-V, IV-VI and II-VI Films Growth by PLD-M System
M. González-Alcudia (CICATA-IPN, México); M. Meléndez-Lira (CINVESTAV-IPN, México); O. Calzadilla-Amaya (Universidad Habana, Cuba); M. Zapata-Torres (CICATA-IPN, México)
This research seeks to develop improved and innovative growth technique ( pulsed laser deposition modified: PLD-M ) for growing thin films based on III-V elements, such as Si and Ge, or II-VI elements, such CdS, CdTe and Tellurides. In addition, on advanced semiconductor alloy combinations such as CdTe/PbSnTe or other promising materials. Compared them with conventional growth of pulsed laser deposition for films, concerning microstructural properties. Films with different droplets morphology could be obtained under mild conditions. The films were characterized by X-ray diffraction (XRD) and SEM. The radius of droplets formed during single pulse irradiation is the rKH ≈ 0.05 - 5 μm. Our experiment shows that source materials and reaction temperature play important roles in forming the objecive products and controlling their morphologies. By this method, it is possible to prepare at low temperature, films with good chemical homogeneity.
TF-ThP-29 Mutual Inductance Characteristics of a Thin Film Planar Loop
G.A. Topasna, D.M. Topasna, F.R. Powell (Virginia Military Institute)
We present a model of the mutual inductance of a thin film planar loop that is flux linked to a short straight wire. The mutual inductance is dependent on the geometry of the planar loop as well as its location relative to the wire. Our calculations provide closed-form and numerical solutions for various geometries. Calculations for the induced emf in the loop are compared to experimental measurements for various configurations.
TF-ThP-30 Room Temperature Photoluminescence from CdTe Nanocrystals Embedded within a SiO2 Matrix Deposited on Silicon Employing Reactive RF Sputtering
E. Mota-Pineda, M.A. Melendez-Lira (CINVESTAV IPN Mexico)
CdTe nanocrystals embedded in a SiO2 matrix were fabricated by radio frequency sputtering employing the texture of a SiO2 layer as template. The SiO2 film texture was controlled through the partial pressure of O2 (OPP) in the working atmosphere. The CdTe crystallinity is better for the samples synthesized on the rougher SiO2 films. Room temperature photoluminescence spectra showed a signal at 1.74 eV, related to the CdTe nanoparticles, for the samples that shown better crystallinity. Additional photoluminescence signals at 1.65 eV and 1.68 eV could indicate recombination process at the nanoparticles/matrix interface. These results indicate that the employed methodology allows to obtain room temperature light emitting CdTe nanoparticles in a single step. After thermal annealing all samples presented light emission related to quantum confinement; the photoluminescence emission can be controlled from 1.69 eV to 2.15 eV by an appropriate adjust of the OPP.
TF-ThP-31 The Influence of Pt Layers on the Corrosion Resistance of TiAl/TiAlN Multilayers
M. Flores (Universidad de Guadalajara, Mexico); J. Avalos (UAM-I, Mexico); L. Huerta, R. Escamilla (UNAM, Mexico)
In this work we report the results of studies of the influence of Pt layers on the corrosion resistance of TiAL/TiALN multilayers deposited on 316L stainless steel. The multilayers were deposited by magnetron sputtering using targets of TiAL and Pt. The thickness of the Pt layers was from 50 nm to 500nm. The corrosion was studied using open circuit potential measurements and potentiodinamyc polarizations in ringer solutions. The results indicate that the corrosion resistance of TiAL/TiAlN multilayers is improved when Pt layers are deposited. The structure of multilayers was studied by means of XRD analysis. It was found that Pt layer has a strong influence on the structure of subsequent TiAlN layer. The corroded surface was studied by means of SEM and EDS. Pitting corrosion was observed in TiAl/AlTiN multilayers analyzed samples. RBS depth analysis was useful to determine the composition of each film and for modeling the interface characteristics through the heterostructure.
TF-ThP-32 Characterization of Metal Oxide Electrical Properties for Band Engineered Catalysis
M.C. Kratzer, E.G. Seebauer (University of Illinois at Urbana-Champaign)
There is good reason to believe that the properties of semiconducting metal oxide catalysts can be improved when designed according to the principles of microelectronic devices. Since oxide semiconductors support space charge, it is possible for surface electronic properties to couple to bulk electronic properties. As a consequence, catalyst surface reactivity can be modified via electronic “band engineering,” wherein the electronic properties of the underlying bulk alter the oxidation state of active sites at the free surface or modify the electric field in the space charge region adjacent to the surface. For example, hydroxyl group acidity on the TiO2 surface can be tuned via the electron richness of the semiconductor, which can be manipulated via controlled doping. Current metal oxide catalyst synthesis methods do not permit doping with the requisite control for the band engineering approach, partly due to problems with measuring majority carrier type and concentration. Such determinations are difficult for metal oxides because the contacts employed for four-point-probe measurements need to obey Ohm’s Law but, in practice, behave as diodes. The present work describes new methodology to solve this metrology problem, using TiO2 as an example metal oxide. The approach involves synthesis of a thin film of the semiconductor on a silicon substrate by chemical vapor deposition or atomic layer deposition. A Schottky diode structure is then fabricated on the film to obtain the doping concentration from high frequency C-V measurements. For TiO2, this approach has been implemented with aluminum contacts to the TiO2 and InGa eutectic alloy contacts to the underlying silicon. The novelty of the structure lies in its compatibility with oxide semiconductors having widely varying doping levels, and the use of easily applied electrical contacts. Oxide thickness, uniformity, and crystal structure can be precisely tailored to suit the subsequent choice of reaction chemistry. A wide variety of fabrication issues have been characterized, including surface and interface preparation, contact metal type, and method of contact deposition. Detailed I-V measurements confirm diode-like behavior that is free from spurious artifacts and amenable to standard Mott-Schottky analysis. Values for the depletion width, barrier height, and series resistance are reported for the example case of TiO2 synthesized from titanium tetraisopropoxide and O2.
TF-ThP-33 Deposition and Characterization of Bi doped Ge2Sb2Te5 Thin Films for Phase Change Random Access Memory Application
J.H. Lee, S.W. Ryu, Y.B. Ahn, C.S. Hwang, H.J. Kim (Seoul National University, South Korea)
Among the next-generation resistance based memories, PcRAM stores the digital data as different resistances of the phase change material between amorphous and crystalline phase. Although PcRAM has superior performances, there are still critical issues to be resolved i.e. reducing high amorphization current,1 enhancing slow crystallization speed. Ge2Sb2Te5 is the most well known phase change material for PRAM. Attempts has been made to improve the phase change properties of Ge2Sb2Te5 by doping various elements and compounds such as N,2 O3 and SiO2.4 In this report Ge2Sb2Te5 was manipulated by doping Bi. Incorporation of Bi into Ge2Sb2Te5 thin film was performed in two ways. First, by cosputtering Bi2Te3 and Ge2Sb2Te5. Second, by sputtering Ge2Bi2Te5 and Ge2Sb2Te5 simultaneously. By cosputtering Bi2Te3 and Ge2Sb2Te5, Bi was successfully incorporated into the lattice, which was confirmed by shifts in XRD peak positions compared to those of undoped Ge2Sb2Te5. Cosputtering Ge2Bi2Te5 and Ge2Sb2Te5 guaranteed fixed atomic percentage of Ge and Te in the film with varying Bi contents. When the amorphous Bi doped Ge2Sb2Te5 films crystallized by thermal annealing at about 150 °C, the resistivity of the film was reduced over 3 orders of magnitude, which was sufficient for device application. The Bi doped Ge2Sb2Te5 films showed lower amorphization voltage without having to increase the crystalline resistance and demonstrated faster crystallization speed than those of Ge2Sb2Te5.


1 K.N. Kim, J.H. Choi, J.D. Choi and H.S. Jeong, 2005 IEEE VLSI-TSA Int. Symp. on, pp 88-94.
2 Y.N. Hwang, S.H. Lee, S.J. Ahn, S.Y. Lee, K.C. Ryoo, H.S. Hong, H.C. Koo, F. Yeung, J.H. Oh, H.J. Kim, W.C. Jeong, J.H. Park, H. Horii, Y.H. Ha, J.H. Yi, G.H. Koh, G.T. Jeong, H.S. Jeong and K.N. Kim, IEDM '03 Technical Digest. IEEE International, 8-10, pp 37.1.1-37.1.4.
3 S. Privitera, E. Rimini and R. Zonca, Appl. Phys. Lett. 85, 3044 (2004).
4 S.W. Ryu, J. H. Oh, B. J. Choi, S.Y. Hwang, S. K. Hong, C. S. Hwang, and H. J. Kim, Electrochem. Solid-State Lett. , 9(8), G259-G261 (2006).

TF-ThP-35 Study of Slope Distribution with Mathematical Molding on Au(111) Thin Film Growth
A. Gonzalez-Gonzalez, J.L. Sacedon, E. Rodriguez-Cañas (Instituto de Ciencia de Materiales de Madrid (CSIC), Spain); J.A. Aznarez (Instituto de Fisica Aplicada (CSIC), Spain); E. Vasco (Instituto de Ciencia de Materiales de Madrid (CSIC), Spain)
In the present work two statistical methods of growth front analysis, the minimalist analysis and the mathematical molding, are compared. The minimalist method, previously developed,1,2 is able to give a statically complete description of the surface, based in a linear limited sampling. It includes the capability to interpret the distribution of slopes at the border of surface protrusions. At difference the mathematical molding method allows to extend the sampling to all the image points and its handling is automatic. Applications of both methods on growth fronts of Au(111) thin films are presented. Thin Au films were thermally evaporated on Au epitaxied /mica substrates at 373K substrate temperature, resulting in a out of equilibrium mound growth (up to 60nm thick) on extended single crystalline plates. Atomic Force Microscopy (AFM) measurements were carried out to investigate the morphology of the films using high aspect ratio tips (nominal tip radius of 2 nm) to achieve high lateral resolution (estimated to be less than 3 nm). The molding algorithm is based on adaptive numerical interpolations of the AFM-measured surfaces by polynomial methods, the extended sampling results are coherent and can be interpreted with the set of parameters and shapes used in the minimalist method, allowing a better statistical determination. Using this method, we have investigated the evolution of the surface slopes with the film thickness and we were able to correlate slope distribution with terrace selected slope models and crystalline facets shaping the surface features.


1
1 E.Rodríguez-Cañas,E.Vasco, and J.L.Sacedón,Appl.Phys.Lett. 90,013112 (2007).
2J.L.Sacedón,E.Rodríguez-Cañas,C.Munuera,A.I.Oliva and J.A. Aznárez, Phys.Rev.B 72,195413 (2005).

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2008 Schedule