AVS2008 Session PS1-WeM: Plasma-Surface Interactions in Materials Processing I

Wednesday, October 22, 2008 8:00 AM in Room 304

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule

Start Invited? Item
8:00 AM PS1-WeM-1 Studying the Interaction of Atomic Hydrogen with a-Si:H Thin Films using Evanescent-Wave Cavity Ring-Down Spectroscopy
F.J.J. Peeters, J. Zheng, I.M.P. Aarts, A.C.R. Pipino, W.M.M. Kessels, M.C.M. van de Sanden (Eindhoven University of Technology, The Netherlands)
Near-IR Evanescent-Wave Cavity Ring-Down Spectroscopy (EW-CRDS) is applied to an a-Si:H thin film subjected to quantified H fluxes from an atomic H source in the range of (0.4-2)x1014cm-2s-1. To this end a ~40 nm a-Si:H film was grown on the Total Internal Reflection (TIR) surface of a folded miniature optical resonator by thermal decomposition of silane on a hot filament. The observed changes in the optical loss during H dosing are attributed to the creation and healing of sub-gap Dangling Bond (DB) defect states and were measured with a sensitivity of ~10-6 and a time resolution of 33 ms. The DB density is shown to increase during H dosing cycles and the DBs reversibly 'heal' when the H flux is terminated. The effect increases in magnitude with H flux and approaches saturation at the highest attainable flux of 2x1014 cm-2s-1. Initial rates for both uptake and healing are linear with flux. Through the use of polarizing optics the CRDS signal was split into s- and p-polarized components, which, combined with field calculations, revealed that H-induced DB formation is not limited to the surface of the film but progresses into the bulk with a penetration depth of ~10 nm. The steady-state penetration depth appears to be independent of flux within the range of our experiment. A similar process is observed for defect creation during growth of the film. Extensive kinetic modeling of the observed behavior is used to understand the hydrogen-material interactions and DB formation in a-Si, which are of key importance in a-Si:H thin film solar cells.
8:20 AM PS1-WeM-2 Unraveling the Importance of the Bimodal Energy Distribution of Bombarding Ions in Fluorocarbon Plasma Etching
F.L. Buzzi, Y.H. Ting, A.E. Wendt (University of Wisconsin-Madison)
Ion bombardment provides a key benefit in plasma etching for microelectronics fabrication and other materials processing applications. A sheath electric field accelerates ions into the substrate so they strike at normal incidence, contributing energy and reactive species to enable anisotropic etch profiles. The energy of the bombarding ions is a significant parameter, and is typically controlled coarsely by adjusting the time-averaged sheath voltage through the application of a sinusoidal bias voltage to the substrate electrode. The sinusoidal voltage waveform produces a broad “bimodal” ion energy distribution (IED) at the substrate, with two ion flux maxima, at respective energies considerably above and below the average. In order to deconvolve the effect of ions of multiple energies bombarding the substrate simultaneously, we have manipulated the waveform of the bias voltage to produce two ion flux maxima. By systematically tailoring the shape of the waveform, the energies and relative fluxes of the two IED peaks are varied independently over a 100 to 500 eV range in a fluorocarbon-based helicon plasma, while silicon dioxide and photoresist etch rates are monitored. Fluorocarbon plasmas create a competition between deposition and etching on the substrate surface, so that with a single IED peak at 100 eV, net deposition is observed, while etching with a monotonically increasing etch rate is observed for a single peak in the 200 to 500 eV range. Two experiments were conducted in which a 100 eV IED peak was combined with a higher energy peak, varying the energy and relative flux of the high energy peak, respectively. In both cases, a relatively small contribution of high energy ions clearly leads to considerable etch rate enhancement, higher than predicted by a linear combination of single peak etch rates at the two energies. We attribute this to the effect of high energy ion bombardment on the chemical composition at the substrate surface, altering the competition between etching and deposition. When net deposition is suppressed, 100 eV ions will interact with the underlying substrate to more effectively enhance etching. The etch rate data provide evidence that for the process examined, a high energy group of ions, comprising as little as 25% of the total flux. produces this suppression, enabling lower energy ions to contribute to etching reactions. These results highlight the significance of the shape of the IED on plasma process outcomes.
8:40 AM PS1-WeM-3 Diamonds and New Carbon Allotropes from Carbon Nanotubes at Room Temperature
M.J. Behr (University of Minnesota); A.R. Muniz, T. Singh, D. Maroudas (University of Massachusetts, Amherst); E.S. Aydil (University of Minnesota)
Interactions of reactive plasmas with nanostructured materials enable the synthesis of materials that would not be expected to form at low temperature and in vacuum. As a remarkable example, in this presentation, we report the plasma synthesis of diamonds and other new carbon allotropes from multiwalled carbon nanotubes (MWCNTs) at room temperature. We exposed MWCNTs to hydrogen atoms created by plasma dissociation of dihydrogen gas in a downstream inductively coupled plasma and observed the transformation of the nanotubes to various crystalline carbon structures, even at room temperature. Examination of the H-exposed MWCNTs with transmission electron microscopy (TEM) revealed webs of long strings of crystallites, ~2-20 nm in diameter, in locations occupied initially by nanotubes. High-resolution TEM, selected-area electron diffraction, and convergent-beam electron diffraction techniques were used to identify the lattice structures of these carbon nanocrystals as cubic diamond, cubic n-diamond, lonsdaleite (hexagonal diamond), and a new carbon allotrope with face-centered cubic symmetry and lattice parameter a = 0.426 nm. This H-induced transformation was observed over the temperature range from 300 K to 1073 K, and investigated as a function of atomic hydrogen dose. Combining synergistically our experimental findings with molecular-dynamics simulations and first-principles density functional theory calculations, we show how H atoms produced in the dihydrogen plasma can induce sp2-to-sp3 C-C bonding transitions in MWCNTs and help nucleate various crystalline carbon allotropes such as cubic diamond and lonsdaleite.
9:00 AM PS1-WeM-4 Clarification of Surface and Interface Structures Exposed to Inductively Coupled Plasma with Various Superposed Bias Frequencies and Its Implication in Plasma Damage Control
Y. Nakakubo, A. Matsuda, Y. Ueda, H. Ohta, K. Eriguchi, K. Ono (Kyoto University, Japan)

Plasma-induced Si substrate damage has become one of the critical issues in advanced MOSFETs with shallower junction in source/drain extension regions, since the damaged layer thickness will be in conflict with the device design margin (e.g. ~ 5 nm in 32-nm-node). The thickness is considered to be governed by plasma parameters such as ion energy distribution function (IEDF). With regard to plasma design, a plasma source driven by superimposed dual bias frequency was reported to control IEDF. For understanding the mechanism and suppressing the damage, the plasma-induced defects should be quantitatively estimated, and then, plasma should be optimized. We have preliminary quantified the damage induced by an inductively coupled plasma (ICP) reactor with superposed bias configuration by a photoreflectance spectroscopy (PRS)–based method.1 Silicon wafers were exposed to an ICP reactor which apply bias powers with various superposed bias configurations with frequencies of 13.56 MHz and 400 kHz. The defect site density was determined by the PRS-based method. The surface and interface layers were assigned by spectroscopic ellipsometry (SE) and TEM. The surface layer growth and interfacial layer (IL) structure were studied by molecular dynamics (MD) simulation developed for the present process condition. The above structures were analyzed by stretching of capacitance-voltage (C-V) curves for the damaged samples. Based on the above comprehensive analyses, we found that an accurate model for plasma-damaged silicon surface structures should include an interface layer between the surface layer and the substrate, i.e., a conventional methodology can lead to an erroneous conclusion in addressing the structures. This bi-layer structure (surface stoichiometric SiO2 and IL) was clarified by TEM, MD simulation and C-V test. The IL thickness increases with self-dc bias voltages. We also observed surface sputtering process (the decrease in surface layer thickness) and more severe damage at higher dc-bias voltages (> 150 V), resulting in larger defect density (~ 1013cm-2) in IL. Furthermore, it was quantitatively confirmed from PRS, SE and C-V techniques that interfacial layer growth and defect generation process depend on the superposed bias configurations with the same power. Quantitative measures and consideration of IL are key to future plasma and device designs.

1 Y. Nakakubo et al., Proc. Symp. Dry Process (2007) 287.

9:20 AM PS1-WeM-5 Studying Surface Damage during Dry Etching of Si(100) with Optical Second-Harmonic Generation in an Ar+/XeF2 Beam Setup
P.M. Gevers, J.J.H. Gielis, H.C.W. Beijerinck, M.C.M. van de Sanden, W.M.M. Kessels (Eindhoven University of Technology, the Netherlands)
Increasing demands due to miniaturization in the semiconductor industry continuously lead to new challenges for plasma-based dry etching. Fundamental studies help to address these challenges, e.g., by clarifying the etching dynamics on the microscopic level. Circumventing the complexity of etching plasmas by using a multiple-beam experiment, we have investigated etching of the archetypical model system of Si(100) with Ar+ ions and F radicals using XeF2. The surface and interface-sensitive nonlinear optical technique of second-harmonic generation (SHG) was applied to gain insight into surface related processes such as the creation of a damaged layer as well as surface defect states involving strained Si-Si bonds and Si dangling bonds. The fundamental radiation for the SHG experiments was created by an optical parametric amplifier (80 MHz, 90 fs, 0.8-1.1 eV) pumped by the regenerative amplified radiation of a Ti:sapphire oscillator. Clean H terminated Si(100) samples were exposed to well characterized beams of low energy Ar+ ions (70-1000 eV) and/or XeF2 radicals. During exposure to Ar+ ions the near surface region of the c-Si was essentially converted to an amorphous layer creating a two-layer structure. The studies were performed both spectroscopically during steady state conditions and in real time under transient conditions. Previous experiments,1,2 in the 1.3-1.8 eV energy range yielded two spatially separated contributions with a 2ω resonance around 3.4 eV associated with modified Si-Si bonds, one at the a-Si surface and one at the a-Si/c-Si interface. The present interpretation for the 0.8-1.1 eV range indicates that the main part of the SHG signal arises from the tail of those resonances, which are assigned to E0/E1-like transitions. A simple exponential model for the real-time data shows that a third contribution is necessary to describe the data well. This third contribution appears to be temporary, i.e., it is only present during the build-up of the a-Si layer. It will be discussed that this temporary contribution might be associated to the creation of dangling bonds and it will be addressed how it yields insight into the development of the a-Si layer over time.


1 J.J.H. Gielis et al., Phys. Stat. Sol. (C) 2, 3968 (2005)
2 J.J.H. Gielis et al., Phys. Rev. B 74, 165311 (2006).

9:40 AM PS1-WeM-6 Ion Induced Etching Reaction of SiO2 and Si by CF3+ Irradiation
K. Karahashi, S. Hamaguchi (Osaka University, Japan)
Fluorocarbon plasmas have been widely used to etch a silicon dioxide in the fabrication of semiconductor devices. In the view of the development of integrated semiconductor devices, more precise control of the etching process is required for further progress. In the previous work, the etching yield of SiO2 by CFx+ irradiation.1 In the present work, we report measurement results of the desorbed products of SiO2 and Si etching due to the irradiation of CF3+ ions, which are considered to be the main ion species in fluorocarbon plasmas. Time of flight(TOF) measurements are also reported, which determines kinetic energies of the products. The low-energy mass-analyzed ion beam apparatus consists of an ion beam source, an ultra high vacuum scattering chamber. Various ions were generated in arc plasma of CF4 and were extracted from the ion source. CF3+ ions for sample irradiation were selected with a mass-analyzing magnet, passed through conventional beam optics, and decelerated to specified irradiation energy just before they reached the sample. Angular distribution of desorption products were detected through an aperture by a rotatable quadrupole mass spectrometer. To measure time of flight distributions of desorbed products, the ion beam is electronically chopped at deflectors in beam line When a CF3+ ion at 500 eV impinged on a SiO2 surface, the major desorbed product was SiF2. Angular distribution of SiF2 follows a cosine law, and the flux of SiF2 does not depend on the ion incident angle. On the other hands, When a CF3+ ion impinged on a Si surface with a large incident angle, the major desorbed product was Si and SiF. Angular distribution of desorbed Si and SiF strongly depends on the ion incident angle and energy. These results clearly show that the desorption process of etching on SiO2 differs from that on Si surface; collision cascades by incident ions on a surface hardly affect desorption of SiF2 from SiO2, unlike the desorption of Si atoms. This explanation of the desorption mechanism is also supported by the observation of TOF distribution measurements of desorbed SiF and SiF2. The TOF spectra of SiF and SiF2 are fitted well by collision cascade and Maxwell-Boltzmann distributions. These results indicate that the main desorption paths of SiF and SiF2 are different; SiF desorbs with collision cascade and SiF2 desorbs with thermal activation after collision cascade.


1 K.Karahashi et al. J.Vac.Sci.Technol. A, 2004, A22, 1166.

10:40 AM PS1-WeM-9 Enhanced Ground and Metastable Atom Densities in Ar Diluted N2 ICP for Nitridation of Hf Silicate
T. Kitajima, T. Nakano (National Defense Academy of Japan); T. Makabe (Keio University, Japan)
The nitridation of high-k HfSiO film surface by nitrogen plasma is an important process for enabling amorphous homogeneous film without phase separation at high temperatures.1 The relation of plasma generated species fluxes toward the film surface and the nitrogen incorporation degree of HfSiON is not well characterized. The authors have previously shown that the improved film quality of plasma grown SiO2 due to rare-gas dilution of O2 plasmas and its relation with the increased metastable O(1D) atom flux.2 Here we diagnose the ground and metastable N atom densities in rare-gas diluted N2 plasmas and relate the flux components with the N incorporation to the Hf Silicates. The ground N(4S) and metastable N(2D) density in the Ar diluted N2 ICP (70 MHz) is measured by the VUV absorption spectroscopy using 120 nm and 149.3 nm emissions from the discharge light source ( N(4P) -> N(4S) , N(2P) -> N(2D)). For the gas pressure of 100 mTorr and the ICP power of 100 W, N(2D) density is 2 x 1010 cm-3 in pure N2 and decreases to 1 x 1010 cm-3 at N2/(N2+Ar) ratio of 0.5 while N(4S) density stays at 7-8 x 1010 cm-3. N(2D) density increases to 3.5 x 1010 cm-3 of maximum with the decrease of N2/(N2+Ar) ratio to 0.1. The trend is also found for the case of He diluted N2 plasma. The decrease of N(2D) for N2 fraction of 100 to 50 % is due to the reduced dissociative excitation of N2 while the EEDF is kept stable. The increase of N(2D) for N2 fraction of 10 % is caused by the increase of high energy electrons due to the reduced energy loss by vibrational excitation of N2. Since the N(2D) / N(4S) ratio reaches 0.5, we expect collisional excitation of N(4S) is important source for N(2D). The nitrided HfSiO films are examined by XPS and the N incorporation is correlated to the expected N atom flux to the surface. Detailed growth results are shown in the presentation.

Authors thank the Suzuki Foundation for the partial support of conducting this study.
1M.A.Quevedo-Lopez, J.J.Chambers, M.R.Visokay, A.Shanware, and L.Colombo, Appl.Phys.Lett., 87, 012902 (2005).
2T.Kitajima, T.Nakano, and T.Makabe, JVST A. (to be published).

11:00 AM PS1-WeM-10 Dependence of Carbon Removal Rate on the Structure of Porous Low-k SiOCH Films during N2/H2 Plasma Processes
K. Kurihara (Toshiba Corp., Japan)
To realize highly reliable interconnects for sub 32 nm node LSI, low-k materials such as porous SiOCH films are demanded to be resistant to plasma processes, such as etching and ashing. This is because a methyl group which makes hydrophobic film is easily abstracted from the film during the plasma irradiation processes. We have examined the plasma resistance of SiOCH films which contained the methylene-bridge (Si-CH2-Si) structure1 using a plasma beam irradiation apparatus.2 This apparatus enabled us to carry out plasma-surface interaction experimens using identified irradiation species. We used two kinds of spin-on-glass porous SiOCH films (k=2.0). One contained only methyl groups, and the other contained both methyl groups and methylene bridges. Used gas chemistry was nitrogen and hydrogen gas mixture plasma. We assumed the damage of the pattern sidewall and irradiated only neutral species from the plasma to the SiOCH films. Major irradiated neutral species were NHx (x=1-4) in addition to parent gases. We evaluated the damage of the film by using the decrease ratio of the carbon content measured as an index by XPS and FTIR. It was found that the decrease ratio of carbon in the SiOCH film contained only methyl groups was larger than that in the film contained methylene bridges. The authors thank JSR Corporation for supplying the SiOCH films.


1 H. Miyajima et al. Proc. of Advanced Metallization Conf. 37 (2007).
2 K.Kurihara et al. J. Vac. Sci.Technol. A 22, 2311(2004).

11:20 AM PS1-WeM-11 Mechanistic Influence of Substrate Temperature on the Plasma Deposition of Carbon Nitride Materials
J.M. Stillahn, E.R. Fisher (Colorado State University)
In an effort to elucidate important processes involved in plasma-enhanced chemical vapor deposition (PECVD), our lab has employed several diagnostic tools to characterize the gas phase, film properties, and gas-surface interface under similar PECVD conditions. This work focuses on the application of these tools to the particular case of amorphous hydrogenated carbon nitride (a-CNx:H) materials, which have a number of potential commercial applications. PECVD of a-CNx:H has been performed in inductively coupled rf plasmas using precursors that favor the formation of the CN radical, a likely contributor in the deposition process. CN was characterized in the gas phase using laser-induced fluorescence and mass spectrometry. Results suggest probable formation mechanisms and provide information about the energetics of the formed radicals. The imaging of radicals interacting with surfaces (IRIS) technique was used in these studies as a means of probing the behavior of CN radicals at the surface of the growing film under both ambient and heated-substrate conditions. These results, along with deposition rate and film composition data obtained as a function of substrate temperature, provide a more complete understanding of the interaction of plasma species with heated substrates in these systems.
11:40 AM PS1-WeM-12 Examining Plasma-Surface Interactions During Plasma Catalytic Removal of Atmospheric Pollutants
M.M. Morgan, E.R. Fisher (Colorado State University)
Nitric oxide (NO) and sulfur dioxide (SO2) are atmospheric pollutants that are produced from engine exhaust. Improvement in catalytic treatment of exhaust gases is therefore necessary to reduce these emissions. We are using plasma-catalytic processes to aid in the removal of pollutants from exhaust gases. An understanding of the fundamental chemical gas-phase and gas-surface processes is required to address this issue. With our imaging of radicals interacting with surfaces (IRIS) technique, we can simultaneously examine the gas-phase, perform surface analyses, and probe the gas-surface interface. IRIS combines laser-induced fluorescence and molecular beam techniques, thus we can probe a variety of important atmospheric species such as NO, SO2, OH, CH, and CN. We have used IRIS to address the fundamental issue of NO and SO2 removal by measuring relative gas-phase densities and by examining the steady-state surface reactivity of plasma-generated species on catalytic surfaces. For example, gas phase densities for NO demonstrate a significant decrease in NO at higher applied rf powers and when additives such as H2O and CH4 are added to the system. Water has also been added to the gas mixtures containing NO and SO2 to monitor the effect of OH production in these processes. Comparison of surface interaction data shows that NO scatters substantially whereas OH has a higher surface reaction probability. Substrates used include silicon wafers and a variety of catalytic surfaces such as Pt and Au. Additional optical emission spectroscopy and mass spectrometry data will also be presented on all of these systems. Preliminary IRIS data on CH and CN will also be included for comparison to NO, OH, and SO2.
Time Period WeM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule