AVS2008 Session PS-MoM: Plasma Etching for Advanced Interconnects

Monday, October 20, 2008 8:20 AM in Room 304

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule

Start Invited? Item
8:20 AM PS-MoM-1 Comparison Between Hybrid and Porous Dielectric Material (SiOCH) Integration Strategies for Interconnect Technologies
J. Ducote (STMicroelectronics, France); T. David, N. Posseme (CEA-LETI-MINATEC, France); T. Chevolleau (CNRS-LTM, France); S. Gall, A. Zenasni, V. Jousseaume (CEA-LETI-MINATEC, France); R.-L. Inglebert (UJF-LTM, France); C. Verove (STMicroelectronics, France); O. Joubert (CNRS-LTM, France)
To reduce interconnect RC delays in the future, porous SiOCH materials (p-SiOCH) are introduced as low dielectric constant materials. However, the porosity brings serious issues such as an increased sensitivity to etch and ash plasma exposures generating significant changes in the film structural properties. These issues can be aggravated by the integration strategy. One interesting emerging solutions is the late porogen removal process in which the porosity is generated by a sacrificial carbon based porogen desorbed after patterning or chemical-mechanical polishing (CMP) steps. Such hybrid materials (SiOCH matrix and porogen) are expected to be less sensitive to plasma induced damages similarly than dense low k materials. Starting from a standard damascene integration scheme, we investigate the advantages and drawbacks of hybrid materials (h-SiOCH) compared to porous materials. Etch mechanisms in fluorocarbon (FC) plasmas, material modifications induced by etching, post etch wet cleans and CMP processes are studied. Experimental results show that etching must proceed in low polymerizing chemistries since both ellipsometry and X-ray photoelectron spectroscopy (XPS) indicate an increased fluorine and carbon concentrations on the surface and lower etch rates than with porous materials. These results are well correlated with the dense nature and the high carbon content of the hybrid material both attributed to the presence of porogens. After conventional post etch wet cleans (fluorhydric acid based), no modification of the hybrid material has been evidenced thanks to infrared analyses. The impact of CMP using Hg probe measurement and IR spectroscopy has also been studied. While porous materials are modified during CMP processes due to surfactant diffusion of the slurry trough the pores, no modification is observed with hybrid materials. We have finally performed a full metal integration process and investigated the impact of the porogen removal process on the copper lines integrity. Preliminary experiment shows that copper lines are impacted by the thermally UV-assisted treatment that generates copper line corrosion issues. A promising solution, that will be presented in this paper, consist in protecting the Cu lines by a CoWP self-aligned barrier deposition and a siliciuration-nitridation process.
8:40 AM PS-MoM-2 Etching Characteristics of Low-k SiOCH Films by Fluorocarbon Beams: Molecular Dynamics Study
A. Suzuki, M. Isobe (Osaka University, Japan); S. Kobayashi, M. Fukasawa, T. Tatsumi (Sony Corp., Japan); S. Hamaguchi (Osaka University, Japan)
Highly accurate control of plasma etching processes of low-dielectric-constant (i.e., low-k) insulating materials for interconnects of semiconductor chips has become increasingly important as the dimensions of transistors and interconnect wires in planer technologies diminish. In this work, in an attempt to clarify etching characteristics of SiOCH films, which are widely used as low-k materials for interconnect insulator, we have studied interaction of SiOCH films with impinging fluorocarbon beams, using molecular dynamics (MD) simulations. The simulation code and interatomic potential functions for Si, O, C, F, and H atoms are the same as those used in Ref. 1. In the simulation study presented here, we have first created model SiOCH films by depositing various monomers consisting of Si, O, C, and H atoms with low incident energies. In the numerical process of film deposition, film properties such as density, atomic composition, and porosity vary greatly, depending on the conditions used in the process. The numerical deposition processes used here were not intended to simulate actual SiOCH film formation processes but simply used to prepare model substrates that are similar to porous SiOCH films used in actual semiconductor chip manufacturing. In etching simulations, the injection energies examined in this work have been 100, 200, and 300eV. Unlike SiO2, which has the sputtering yield threshold energy at around 250eV for CF3 ion injections, numerically obtained sputtering yields for SiOCH films are significantly higher. For example, the numerically obtained Si sputtering yield (defined here as the number of Si atoms removed from the substrate surface per injection) by CF3 injections at 100eV is about 0.48. The sputtering yields for SiOCH films have been also confirmed to be an increasing function of the incident energy. We have also examined the sputtering yield dependence on (1) the film density and also (2) incident beam species varying from F to CFx with x = 1~4. For the latter, at 100eV, the dependence on the value x is found to be rather weak.


1T. Takizawa, et al., AVS 54th International Symposium & Exhibition, October 14-19, 2007, PS1-MoM2.

9:00 AM PS-MoM-3 Plasma Challenges of Porous SiOCH Patterning for Advanced Interconnect Levels
T. Chevolleau (CNRS/LTM France); T. David, N. Posseme (CEA/LETI/D2NT France); M. Darnon (CNRS/LTM France); F. Bailly (CEA/LETI/D2NT France); R. Bouyssou (CNRS/LTM France); J. Ducote (CEA/LETI/D2NT France); L. Vallier, O. Joubert (CNRS/LTM France)
In CMOS technology, one of the dominant strategies to achieve future generation of ultra low-k interlayer dielectric (ILD) materials with a dielectric constant close to 2.2 is to introduce porosity into a SiOCH matrix. For the integration of porous SiOCH in damascene structures, the most important challenges are: 1) to manage profile control of narrow features (sub-75 nm trenches and vias), 2) to minimize the plasma-induced damages (modification and surface roughness) and 3) to prevent barrier diffusion into the porous SiOCH. In this work, we will address the damages (modification and surface roughness) induced by the etching and ashing plasmas on both blanket and patterned wafers. The film modification and surface roughness induced by fluorocarbon, reducing, and oxidizing plasmas have been characterized by using infrared spectroscopy, ellipsometry, atomic force microscopy techniques. The results will be presented and discussed in terms of mechanisms of film modification and formation of surface roughness. Experiments will also focus on the profile control of narrow p-SiOCH trenches using different masking strategies (metal hard mask versus organic mask). Plasma etching conditions have been optimized for both type of mask approaches to achieve sub-75 nm patterns using scanning electron microscopy and chemical topography analyses by X-ray photoelectron spectroscopy. We have shown that the organic hard mask exhibits better patterning capability in terms of trench profile control. The use of metallic hard mask can lead to profile distortion and process drift induced by metal contamination on the patterned structures and on the reactor walls of the etcher, respectively. Post etching treatments like reducing (NH3, H2/He, CH4…) and oxidizing (O2) plasmas have also been investigated on both blanket and patterned wafer to limit the barrier diffusion. We have shown that the barrier diffusion can be strongly limited if the ashing plasma generates a modification and densification of the p-SiOCH material surface. In conclusion, a delicate trade-off has to be found between the modification of the porous SiOCH film and the limitation of the barrier diffusion in order to minimize the increase in k value.
9:40 AM PS-MoM-5 Plasma Damages on Organic Low-k Film due to VUV Radiation, UV Radiation, Radicals, Radicals with Radiation, and Ions in H2/N2 Plasma Etching Processes
K. Takeda, S. Takashima, R. Saito, S. Uchida (Nagoya University, Japan); M. Fukasawa, K. Oshima, K. Nagahata, T. Tatsumi (Sony Corporation, Japan); M. Hori (Nagoya University and JST-CREST, Japan)
The low dielectric constant (low-k) films are widely introduced as interlayer dielectrics for ULSIs. An organic low-k film, polyallylene (PAr), is one of prospective candidates for interlayer films with low-k. PAr receives the damages from the plasmas. The plasma damages induce the increase of the dielectric constant of the films. In our previous studies, we have developed the novel technique for evaluating the damages due to radiation, radicals and ions in plasmas separately (Pallet for plasma evaluation : PAPE) and clarified the generation mechanism of porous SiOCH films using the PAPE in plasma etching using H2 and N2 gases.1 In this technique, a vacuum ultraviolet (VUV) window, an ultraviolet (UV) window, a Si plate, or nothing were placed on low-k films and were irradiated by plasmas. Therefore, we can evaluate the influence of individual VUV radiation, UV radiation, radicals, radicals with radiations, and ions on the damage of films. In this study, the damage induced by H2/N2 plasma was investigated to clarify the generation mechanism of surface changes due to VUV radiation, UV radiation, radicals, radicals with radiation, and ions on the organic low-k films. The dual frequency capacitively coupled plasma apparatus for 8 inch wafer processing was used in this study. VHF (60MHz) and bias (2MHz) powers of 500 W were applied to the upper and the bottom electrodes, respectively. The pressure of H2 and N2 mixture gas was 5.3 Pa. The etching time was 20 s. At a gas flow rate ratio of 50 %, the etching depth of the sample irradiated by radiation, radicals, and ions was approximately 80 nm. The other samples on the conditions without ion bombardment were not etched and the refractive index of the sample irradiated by radiation, radicals, and ions increased significantly compared to the other samples, which indicated that the damages caused by ions were greater than those due to VUV, UV radiation, and radicals. On the other hand, in the pure N2 plasma, the thicknesses and refractive indexes of all samples were not changed. From these results, the damage caused by the ion bombardment was suppressed by surface nitriding of organic low-k film due to N2 plasma exposure. On the basis of results, the generation mechanism of damage is presented.


1 S. Uchida, S. Takashima, M. Fukasawa, K. Ohshima, K. Nagahata, T. Tatsumi , and M. Hori, J. Appl. Phys., 103 (2008) 073303.

10:20 AM PS-MoM-7 Impact of Reducing and Oxidizing Post Etching Plasma Treatments on Porous SiOCH Integration
R. Bouyssou, T. Chevolleau (CNRS-LTM, France); T. David, N. Posseme (CEA-LETI-MINATEC, France); J. Ducote (STMicroelectronics, France); L. Vallier, J. Joubert (CNRS-LTM, France)
For 45 nm interconnect technology node and beyond, porous SiOCH (p-SiOCH) materials with porosity higher than 25% and a dielectric constant lower than to 2.5 are being introduced. However the porosity brings serious integration issues such as a high sensitivity of porous materials to etching and ashing plasma exposures and the risk of metallic precursor diffusion into the dielectric during conformal barrier deposition. This work focuses on the development of post etching plasma treatments using reducing and oxidizing chemistries. Such plasma treatments can be used as i) ashing processes and/or ii) post cleaning processes (wafer and/or reactor walls) and/or iii) “pore sealing-like” processes to prevent metal barrier diffusion. p-SiOCH wafers (porosity of 26% and k=2.35) prepared by plasma enhanced chemical vapour deposition have been etched and post etched in a dual frequency capacitive reactor. Plasma induced modifications of p-SiOCH have been investigated both on blanket and patterned wafers using volume and surface analyses techniques such as infrared spectroscopy (in transmission and in multiple internal reflexion), ellipsometry (spectroscopic and porosimetric), x-ray photoelectron spectroscopy and water contact angle. For each chemistry (NH3, H2, CH4 and O2), we have optimized the plasma conditions minimizing the p-SiOCH modifications such as carbon depletion, new bonds formation (Si-H, Si-OH,..) and moisture uptake. After methane based plasma treatments (CH4/N2) a carbon rich layer (a few nanometers thick) presenting hydrophobic properties is deposited on the p-SiOCH surfaces. After NH3 and O2 based plasmas, the surface becomes hydrophilic and carbon free. Infrared analyses show no moisture uptake after CH4 based plasmas while a significant amount of water uptake is detected after NH3 and O2 plasmas. Furthermore, dielectric constant (k) measurements after the different plasma treatments demonstrate that the k increase is strongly related to the formation of silanol groups and moisture uptake. The capabilities of the plasma treatments presented in this study to remove post etch-residues from the p-SiOCH surface and to prevent the barrier diffusion into p-SiOCH will be also addressed.
10:40 AM PS-MoM-8 Effects of Plasma Etch and Ash Processes on Porous Low-k Film Surfaces in a Dual-Damascene Flow
C.B. Labelle (Advanced Micro Devices, Inc.); D. Horak (IBM Research); Y. Zhou, A. Li, K. Zhou, C. Zhang, R. Patz, A. Darlak, J. Pender (Applied Materials, Inc.)
Porous ultra low k dielectrics (k < 2.4) are being integrated into current and future technology nodes. As film dielectric values are driven lower, new interactions are observed between the films and the plasma etch environments to which they are exposed. Some of these interactions are extensions of the chemical sensitivities previously observed for k=2.4 materials (i.e., plasma ash damage), while others are a result of the change in the microstructure of the films as additional porosity is incorporated to decrease dielectric constant (i.e., pore size, pore connectivity, etc.). Post-etch and ash film surface roughness has often been observed with porous dielectrics and the etching and ashing process window to achieve a smooth dielectric surface decreases as the porosity increases. In this work, post-etch and/or ash film surface roughness effects will be examined for several different structures. The focus of the work is on a k=2.2 porous carbon doped oxide film utilizing a via first trench last integration scheme. Surface roughness phenomena are observed both on planar and vertical surfaces. In some cases, plasma modification to the film from one step is only observed several steps beyond the damage point. The sensitivity of the film requires careful control of every step of every plasma exposure to minimize cumulative and/or combinatory effects. Results will be presented highlighting some of the process spaces explored. This work was performed by the Research Alliance Teams at various IBM Research and Development Facilities.
11:00 AM PS-MoM-9 Mechanisms of Residue Formation on TiN Hard Mask after Patterning of Porous SiOCH Films in Fluorocarbon-Based Plasma
N. Posseme (CEA-LETI, France); T. Chevolleau, R. Bouyssou (LTM-CNRS, France); T. David (CEA-LETI, France); V. Arnal, N. Jourdan, S. Doloy, C. Verove (ST Microelectronics, France); O. Joubert (LTM-CNRS, France)
For the 45 nm interconnect technology node, the introduction of porous SiOCH materials (p-SiOCH) brings major concerns such as the sidewall modifications induced by ashing plasmas used to strip the photoresist. Metallic hard mask (MHM) integration avoids exposure of the porous SiOCH films to resist stripping plasmas but generates its own set of issues such as metal contamination of the patterned structures. In particular, the growth of metallic residues on the MHM is often observed after p-SiOCH etching in fluorocarbon (FC) plasmas. Since, these defects are not removed after wet cleans, they directly impact the electrical performance measured on via chains. This work focuses on the mechanisms of residue formation on metallic hard mask (titanium nitride, TiN deposited by Physical Vapor Deposition) when exposed to FC based plasma etching. In situ post-etch plasma treatments have also been investigated as potential solution to remove these defects. The mechanisms of residue formation have been investigated using different analyses techniques such as ex-situ x-ray photoelectron spectroscopy (XPS), scanning electron microscopy cross section and energy dispersive x-ray (EDX). These experiments have been performed on TiN blanket wafers deposited on 200 nm thick SiO2 layers and on patterned wafers etched in a dual frequency capacitive etcher. The growth of residues on metallic hard mask is observed when the wafer is etched in FC plasmas and exposed to air. EDX and XPS analyses both show that these residues are TiFx like residues. We have also observed that the kinetic of residue formation after air exposure on metal hard mask is correlated with the etching chemistry (SF6, CxFy, ...), the plasma operating conditions (w or w/o ion bombardment), the chemical composition of the etched materials (SiO2, p-SiOCH, SiCN) and moisture content. Based on these results, the mechanisms of the residues formation will be presented and discussed.
11:20 AM PS-MoM-10 BEOL Pattern Flop Over as a Challenge to Shrink Feature Critical Dimension Continuously
Y. Yin, J.C. Arnold (IBM Corporation); T. Sparks (Freescale Corporation); P. Basler, S. Schmitz (IBM Corporation)
As feature critical dimension (CD) shrinks toward the limit of Moore’s law, many problems, including pattern flop over, become serious challenges in the Back-End-Of-Line (BEOL) plasma etch development. A clear understanding of the origin and control of pattern flop over is extremely desirable since it will cause failure in product development. BEOL pattern flop over is due to several different reasons. One of the root causes is the high Aspect Ratio (AR) of mask/dielectric lines. As the technology node moves from one generation to the next, the feature pitch size shrinks faster than the feature vertical dimension (trench/via depth) to allow the integration density to increase. Consequently, mask/dielectric lines with higher AR in BEOL are required. When the AR exceeds the critical value (approximately 3) flop over can happen very easily. The mask/dielectrics lines bend over and sometimes touch each other. Different flop over phenomena have already been observed at 22 nm node look-ahead research. Photoresist feature delamination has been noted post resist development because of the smaller pitch size. Two of the most significant mechanisms, mask flop over and dielectrics flop over, occur during the plasma etching process. The soft organic materials in the litho stacks can bend over and lead to mask flop over when the AR is high. This flop over partially shadows the trench and forms distorted dielectric lines. The dielectrics line itself can also flop over at high aspect ratios, which is most likely due to a combination of high AR and low material strength. In addition, wet treatment post plasma patterning can cause significant flop over due to capillary forces. One of the possible ways to avoid pattern flop over, is to improve the mechanical properties of the mask and dielectric materials. With our research efforts, we hope we can understand and solve this challenge in time to move closer toward the limit of Moore’s law.
Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2008 Schedule