AVS2007 Session TF-ThP: Aspects of Thin Films Poster Session

Thursday, October 18, 2007 5:30 PM in Room 4C

Thursday Afternoon

Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2007 Schedule

TF-ThP-1 Ferroelectric Properties of PbMn1/3Nb2/3O3-Pb(Zr,Ti)O3 Thin Films Epitaxially Grown on (001)MgO Substrates
K. Wasa, I. Kanno, H. Kotera (Kyoto University, Japan); T. Zhang, F. Li, S.-Y. Zhang (Nanjing University, China)
It is well known bulk ferroelectric oxide ceramics of modified Pb(Zr,Ti)O3 (PZT) , PbMn1/3Nb2/3O3- PZT, show variety of ferroelectric properties when we change the doping level of Mn and Nb. Thin films of the modified PZT will be useful for a fabrication of micro- and/or nano- level functional device including GHz electronic devices, since the co-doping of Mn and Nb to pure PZT will improve both mechanical Q values and electromechanical coupling of original PZT. However, it is not clear whether the bulk ferroelectric properties will be achieved in the ferroelectric thin films. We have tried to make epitaxial growth of thin films of the modified PZT, xPbMn1/3Nb2/3O3- (1-x)PZT ,on (001)MgO substrates by rf-magnetron sputtering at x=0.06 and PZT(45/55). Before the epitaxial growth of the modified PZT, (001)Pt electrode with SrRuO3 buffer layer was epitaxially grown on the MgO substrates by the rf-magnetron sputtering. The epitaxial temperature of the modified PZT thin films was around 600°C and the epitaxial films showed (001) single orientation of tetragonal structure. The dielectric constant of the co-doped epitaxial thin films at 1.7 µmm in film thickness was ε*=190 at 100kHz and showed sharp square shape P-E hysteresis curve with Pr=60µmC/cm2 and Ec=110kV/cm. The bulk dielectric constant of modified PZT is ε*=300 to 400. The structural differences between bulk and thin films will cause the sharp square type P-E curve with smaller dielectric constant for thin films. The epitaxial thin films showed high piezoelectric constant, e31 =­4C/m2 , which was almost the same to the highest value of piezoelectric constant observed in the pure PZT(52/48) thin films at MPB condition. The present Mn and Nb doped PZT thin films show tetragonal structure which achieves the fine interface between thin films and the substrates. Since the doping of Mn will increase the mechanical Q values, the modified PZT thin films with lower dielectric constant will be applicable for the fabrication of the nano-level functional devices including GHz film bulk acoustic resonator (FBAR).
TF-ThP-2 (Dual) Ion Beam Deposition of Tantalum Pentoxide Thin Film at Room Temperature
W. Kulisch, D. Gilliland, G. Ceccone, H. Rauscher, L. Sirghi, P. Colpo, F. Rossi (Joint Research Center, Italy)
Tantalum pentoxide is a material with outstanding optical (high refractive index, high transparency) and electrical properties (high dielectric constant), rendering it a promising candidate for applications in rapidly developing fields such as optics, microelectronics, and sensorics. Many modern application are based on plastic substrates such as PMMA, which in turn requires deposition at low or even room temperature. To meet this end, the deposition of Ta2O5 films by means of (dual) ion beam deposition at room temperature on Si, glass and plastic substrates has been investigated. A tantalum target was sputtered by Ar ions from a Kaufman source in the presence of oxygen, while the growing film was simultaneously bombarded with Ar or O ions from a plasma beam source. Films have been characterized with respect to their morphology, thickness, composition, bonding structure, and optical properties by techniques such as atomic force microscopy (AFM), X-ray photoelectron spectroscopy (XPS), Fourier transform infrared spectroscopy (FTIR), variable angle spectroscopic ellipsometry (VASE) and UV-VIS spectroscopy. In a first step, the influence of the oxygen partial pressure on the properties of single ion beam sputtering was investigated. It turned out that the oxygen content in the films increased with the oxygen partial pressure until saturation at the stoichiometric value is reached. These films are extremely smooth (rms roughness < 0.15 nm), possess refractive indices of 2.1 or even higher and extinction coefficients lower than the detection limit of the ellipsometer (ca. 10-3). In a second step, the influence of a densification of the growing films by simultaneous bombardment with either oxygen or argon ions was studied. The parameters investigated were the ion current density and the ion energy. A major aim of this study was to establish a correlation of the basic film properties, especially the bonding environment as revealed by XPS and FTIR, one the one hand, and the optical properties, on the other hand.
TF-ThP-3 Characterization of Hafnium Nitride Films Deposited by Plasma ALD
N. Singh (Oxford Instruments Plasma Technology, UK)
Conductive hafnium nitride films have been deposited by plasma ald in the Oxford Instruments OpAL reactor. TEMAH was used as the hafnium source and nitrogen was provided from a remotely mounted inductively coupled plasma source. The influence of nitrogen-hydrogen and ammonia plasmas on film properties such as stoichiometry, refractive index, and resistivity is reported. Film thickness and refractive index were determined by ellipsometry. The resistivity was measured using a 4 point probe. The composition of the film was estimated from Auger electron spectroscopy and XRD was used to confirm the amorphous nature of the film. Depending on the plasma composition, the growth per cycle was in the range 0.7 - 1.5 Å/cycle and the refractive index varied from 1.4 - 2.3. The minimum measurable resistivity of the films was 5 μ Ω cm. Hydrogen plasma has signifcant effect on the conductivity of the deposited iflms compared to nitrogen plasma. The thickness of the films remained unchanged when left exposed to ambient air.
TF-ThP-4 Al-doped ZnO (AZO) Films Deposited by Gas Flow Sputtering for Transparent Conductive Thin Films
H. Takeda (Aoyama Gakuin University, Japan); Y. Iwabuchi, M. Yoshikawa (Bridgestone Corporation, Japan); Y. Sato, Y. Shigesato (Aoyama Gakuin University, Japan)
Al-doped ZnO (AZO) has been attracted much attention as one of alternative materials to Sn-doped In2O3 (ITO) films. Recently, there have been strong demand for high deposition rate with stable discharge and low cost deposition systems for the various commercial applications. Gas Flow Sputtering (GFS) has two important features. The one is the possibility for very high deposition rate because of using an intense hollow cathode discharge and a large amount of sputtering gas (Ar) flow. Another is stability of the reactive sputtering process with the variation in reactive gas (O2) flow. Reactive sputtering is usually highly nonlinear processes for the conventional glow discharge sputtering because of the poisonings of the target surface. In the case of GFS, a large amount of Ar gas flows from the faced targets to the substrate preventing the oxidation of the target surface. AZO films were deposited by GFS on alkali-free glass (corning, #1737) without heating using two Zn-Al alloy targets (Al: 1.5 wt.%). For all the depositions, Ar gas flow and sputtering power were fixed at 1.0 SLM and 1500 W, respectively. O2 flow was controlled from 0 to 10 sccm. The crystal structure was analyzed by X-ray diffraction (XRD). The electrical and optical properties were measured by van der pauw method and UV-IR spectroscopy, respectively. With increasing O2, the intensity of an XRD peak of Zn metal decreased. On the other hand, ZnO XRD peaks appeared from O2 flow of 6 sccm. All AZO films deposited over 6 sccm of O2 exhibited a strong c-axis preferred orientation perpendicular to the substrate surface. Deposition rate was about 70 nm/min. At O2 gas flow of 6 sccm, the AZO film showed the lowest resistivity of 9.82×10-4 Ω cm, Hall mobility of 10 cm2/V s, carrier density of 5.48×1020 /cm3.
TF-ThP-5 Annealing and Manganese Activated Electroluminescent Characteristics of Thin Film Gallium Oxide
J.H. Heo, J.H. Kim (Chungbuk National University, Korea)
Post deposition annealing and alternating current electroluminescence of thin film gallium oxide doped with manganese (Ga2O3:Mn) have been studied. The Ga2O3:Mn films were prepared at room temperature by radio frequency planar magnetron sputtering from a 2 mol% Mn-doped Ga2O3 target in an oxygen-argon mixture atmosphere. The as-deposited Ga2O3:Mn films had an amorphous structure. X-ray diffraction (XRD) and scanning electron microscopy (SEM) analyses indicated that the Ga2O3:Mn films began to crystallize into a monoclinic β-Ga2O3 phase by the post-deposition anneal at 800°C. The crystallinity of the films was continuously improved as the annealing temperature increased up to 1200°C. The polycrystalline structure was uniformly developed throughout the film by the anneal. The half-stack alternating-current thin-film electroluminescent (ACTFEL) devices were constructed using an inverted single-insulating structure, indium tin oxide (ITO)/Ga2O3:Mn/lead zirconate titanate (PZT)/Au on alumina (Al2O3) substrates. The fabricated ACTFEL devices exhibited an emission peak at around 507 nm in the green range and it was accounted for by the 3d-3d intrashell transition from the 4T1 excited state level to the 6A1 ground-state in divalent Mn ion. The color coordinates of the emission were x=0.197 and y=0.623 in the CIE chromaticity.
TF-ThP-6 Effects of Manganese Oxide-Mixed Abrasive Slurry on the Tetra-Ethyl Ortho-Silicate Oxide Chemical Mechanical Polishing for Planarization of Inter-Layer Dielectric film in the Multilevel Interconnection
S.-W. Park, W.-S. Lee (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); G.-W. Choi, S.-J. Han, Y.-K. Lee (Chosun University, Korea)
One of the critical consumables in chemical mechanical polishing (CMP) is a specialized solution or slurry, which typically contains both abrasives and chemicals acting together to planarize films. The slurry designed for optimal performance should produce reasonable polish rates, acceptable polish rate selectivity with respect to the underlying layer, low surface defects after polishing, and good slurry stability. In single abrasive slurry (SAS), the solid phase consists of only one type of abrasive particle. On the other hand, mixed abrasive slurry (MAS) consists of a mixture of at least two types of abrasive particles, which can be chosen from inorganic (alumina, silica, ceria, zirconia, titania, manganese oxide, etc) or organic (polymeric resins) groups. In this work, in order to prepare the MAS, KOH-based fumed silica slurry of pH 11 with solid content of 13 % was diluted in de-ionized water (DIW) with 1:10 ratio. The manganese oxide (MnO2) abrasives particles were then added in the diluted silica slurry. The concentration of manganese oxide abrasive was varied from 1 wt% to 5 wt%. The surface quality after polishing is important issue in TEOS-oxide CMP. A surface with a very low scratch level is obtained by chemically modifying the abrasive surface. The particle size distribution of MAS as a function of mixed amount of manganese oxide abrasive particles was also observed a bimodal particle size distribution with the two peaks at mean aggregate particle sizes of 250 nm and 2250 nm. Formation of nanometer and large size particles is perhaps, the result of aggregation of modified manganese oxide particles. This is due to an interplay between the abrasive action of larger manganese oxide particles and chemical-tooth nature of the smaller silica particles surrounding the larger manganese oxide particles. The slurry composition is further optimized to allow an excellent polishing performance yielding good surface quality while maintaining high removal rate. In addition, it is anticipated that there were be few special slurry handling problems in a fabrication production environment because relatively benign chemistry is used. This work was supported by grant No. (R01-2006-000-11275-0) from the Basic Research Program of the Korea Science & Engineering Foundation and by Korea Research Foundation Grant (KRF-2006-005-J00902).
TF-ThP-7 The Role of Nitrogen Composition in SiOxNy as Diffusion-Barrier Film Deposited by NH3/SiH4/Ar Plasma Enhanced Chemical Vapor Depositon
P. Thuy, J.H. Lee, I.K. Kim, G.Y. Yeom (Sungkyunkwan University, Republic of Korea)
For the next generation display devices, flexible display panels formed on plastic substrates are considered and one of the important issues in the flexible displays is the formation of transparent diffusion barrier on the plastic substrates or on the devices which prevents the permeation of water and oxygen to the device. In this study, SiOxNy thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) using SiH4/NH3/Ar at low temperature (temperature < 50°C) and with biasing the substrate and the effect of substrate biasing and gas mixture on the film properties as a water vapor permeation barrier was investigated. The result showed that with the R ratios (R=[NH3]/([NH3]+[SiH4]) from 0.4 to 0.6, nitrogen composition in the film was the highest and the roughness of the film was the lowest. Also, the water vapor transmission rate was ≤ 10-3 mg/(m2/day). Consequently, these SiOxNy thin films were suitable as diffusion barriers on glass or polymer materials. In this presentation, more detailed material properties of SiOxNy thin films measured as a function of bias voltage and gas combination will be discussed.
TF-ThP-8 Low-Temperature Formation of Polycrystalline Silicon Thin Films via Enhanced Aluminum-Induced Crystallization
F.W. DelRio, J. Lai, T.-J. King Liu, R. Maboudian (University of California Berkeley)
In the manufacture of very large scale integrated circuits and microelectromechanical systems, polycrystalline silicon (polysilicon) thin films are typically formed directly by low-pressure chemical vapor deposition at temperatures above 600 °C, using silane as the precursor gas. Such a high process temperature makes this approach unsuitable for formation of polysilicon films on low-cost glass substrates and on substrates with completed CMOS integrated circuits. To lower the thermal budget, aluminum-induced crystallization (AIC) can be used to crystallize an amorphous silicon (a-Si) film deposited at low temperature. The formation of polysilicon by AIC of non-hydrogenated a-Si relies heavily on the layer exchange of the adjacent Si and Al films. Several factors affect the exchange of the Al and Si layers, and consequently, have an impact on the characteristics of the polysilicon film. In this paper, we study the effect of silicon doping on AIC of non-hydrogenated a-Si. In particular, Al-2%Si is examined, which is commonly used in microelectronics to prevent junction spiking, hillocks, and electromigration. A series of Al-2%Si/a-Si samples are prepared in a sputtering system with multiple process chambers and annealed in vacuum at temperatures in the range of 250 to 375 °C. The silicon doping is found to enhance the crystallization process, thereby reducing the initial crystallization temperature by ~50 °C. The enhancement is attributed to the presence of Si precipitates in the Al-2%Si film, which act as nucleation sites for Si grain growth. As with the Al/a-Si system, adjacent Al-2%Si and a-Si films undergo a layer exchange during isothermal annealing, resulting in a continuous polycrystalline silicon film with good physical and electrical properties. The observed decrease in the Si2p binding energy is consistent with p-type doping of the Si layer, attributed to the presence of Al in the crystallized film. Assuming an Arrhenius-type behavior for the crystallization, the activation energy for the process is found to be 0.97±0.09 eV. This value is in good agreement with the activation energy for Si diffusion in evaporated Al films, indicating that the crystallization is a diffusion-limited process.
TF-ThP-10 Thermally Stable Ag Thin Film Structure Modified with Very Thin Al Layers
M. Kawamura, Y. Inami, Y. Abe, K. Sasaki (Kitami Institute of Technology, Japan)
Improvements of thermal stability of Ag thin films, featured with the lowest resistivity among all metals, have been attempted. Especially, Ag thin films on dielectric oxide layers are expected for metallization materials in future electronic devices if the improvement is achieved. One of the common ways is alloying the Ag films, but it is difficult to preserve its low resistivity due to impurity scattering effect of electrons in some cases. We show a result of structural modification, where very thin Al layers (about 1 or 3 nm of thickness) were introduced at top and/or bottom of the Ag, namely Al/Ag/Al, Ag/Al and Al/Ag structures. The Al/Ag/Al structure showed excellent stability on surface morphology and electrical resistivity even after annealing at 600°C in vacuum. It is considered that the deposited thin Al layers changed into thin Al oxide layers and resulted in capping (and/or passivation) of Ag film and better adhesion with oxide substrate. The resistivity of the film was also as low as that of bulk Ag. The Al layer at the top of Ag film showed better result than that at the bottom. Therefore, it is found that inhibition of surface diffusion of Ag films is more important to prevent agglomeration of Ag thin films. Consequently, it is found that the structural modification is very useful to obtain thermally stable Ag thin films without agglomeration even after annealing at 600°C.
TF-ThP-11 Transmission Infrared Characterization of Titanium Oxide Thin Films Deposited by Atomic Layer Deposition
B.-C. Kang (Sungkyunkwan University, Rep. of Korea)
Metal oxide thin films have a number of perspective applications in microelectronics and sensor technology. However, there is not enough mechanistic understanding of growth chemistry during film deposition process, especially atomic layer deposition (ALD) process. We have constructed a simple ALD reactor which enables transmission infrared spectroscopy to be performed in situ on a layer-by-layer basis. In this study, ALD of TiO2 was carried out using alternating exposures of titanium isopropoxide (Ti(OiPr)4) and water (H2O), separated by an inert gas (e.g., N2) purge. In situ transmission Fourier transform infrared (FTIR) spectroscopy was used to monitor the sequential surface chemistry during the Ti(OiPr)4 and H2O exposures during ALD reaction onto the Si(100) surface. The FTIR spectra showed the growth of TiO2 bulk vibrational modes versus number of ALD cycles. Also ex situ x-ray photoelectron spectroscopy, scanning electron microscopy and transmission electron microscopy were used to investigate the composition and detail structure of the deposited TiO2 thin film on the Si(100) substrate.
TF-ThP-12 Fabrication of CdS-based Visible Light Photocatalyst by the Use of Ammonia-Free Chemical Bath Deposition Technique
S. Biswas, M.F. Hossain, T. Takahashi (University of Toyama, Japan); Y. Kubota (University of Yokohama City, Japan); A. Fujishima (Kanagawa Academy of Science and Technology, Japan)
In the recent years, utilization of a second semiconductor of lower band-gap as a sensitizer to TiO 2 attracted lot of attention as an emerging technique for preparation of visible light photocatalyst. CdS is one of the effective low band semiconductors which can be used as a sensitizer to TiO2. However, the preparation of CdS layer by popular conventional chemical bath deposition (CBD) technique with ammonia as a complexing agent create environmental problem as large scale production causes employment of large amounts of ammonia, which is toxic, highly volatile and harmful for the environment. In this present study CdS thin films were deposited on glass substrate by ammonia free chemical bath deposition technique with Sodium citrate as a substitute to ammonia. After deposition, all the samples were annealed at 400 °C in high vacuum of 5 x 10 -5 Pa for 30 min. On the top of CdS layers TiO2 thin films were deposited by dc magnetron sputtering technique with using a mask to leave exposed CdS surface. Different samples were prepared with various amount of thiouria content for the preparation of CdS layer. The CdS thin film prepared by ammonia free recipe shows highly oriented crystallographic structure. The optical property of the CdS thin film exhibits higher red shift in compare to CdS prepared by conventional technique. Different amount of red shift has been observed with different thiouria concentrations. The photocatalytic activity was measured by decomposition of Methanol separately in UV-Vis and visible light. The surface morphology of the CdS and TiO2 surface was studied by field emission scanning electron microscope (FE-SEM) and atomic force microscope (AFM). The result is attributed to the crystallographic structure and microstructure of both CdS and TiO2 layers.
TF-ThP-13 Study of Sol-Gel Derived TiO2 Photoelectrode for the Fabrication of Low Cost Dye-Sensitized Solar Cells
M.F. Hossain, S. Biswas, T. Takahashi (University of Toyama, Japan); Y. Kubota (University of Yokohama City, Japan); A. Fujishima (Kanagawa Academy of Science and Technology, Japan)
Dye sensitized solar cells (DSCs) are regarded as a low cost alternative to conventional p-n junction solar cell devices. The high light-to-energy conversion efficiencies achieved with DSCs may be attributed to the nano-porous TiO2 electrode. Among the various techniques for the preparation of TiO2 photo-electrode, the relatively simple sol gel method is the most widely used because of its ability to obtain films with tailored properties on large, curved substrates, and also it is a low temperature process. In our study, the titanium dioxide porous thin film electrodes were deposited on SnO2: F coated glass slides by sol-gel technique; where, tetrabutylorthotitanate was used as a precursor and was hydrolyzed in a water/alcohol/amine mixture. Polyethylene glycol (PEG), with different concentrations, was added to the coating solution as a structure-directing agent, and the films thus prepared were transparent, crack free. In this present investigation, titanium dioxide thin films were prepared with different PEG concentrations and with different numbers (2-8) of coatings. The surface morphology of the films has been observed by atomic force microscope (AFM) and field emission scanning electron microscope (FE-SEM). The morphology of porous TiO2 thin films strongly depends on the concentration of the template (PEG). The decomposition of PEG during high temperature treating is considered to be responsible for the generation of porous structure in the films as compared with TiO2 film without addition of the polymer. It was revealed from optical study that the dye absorption increases with the increase of PEG concentration. Incident photon-to-current efficiency (IPCE) is calculated for all the solar cells with different TiO2 thin films to evaluate the economic viability of this technique. The variation of photoelectric conversion efficiency of the solar cells of TiO2 films deposited with different PEG concentrations is discussed with the analysis of different microstructure of the TiO2 thin films and the corresponding dye-incorporation.
TF-ThP-14 Optimization of Sputter-Deposited TiO2 Photo-Electrode for Dye-Sensitized Solar Cell
M.F. Hossain, S. Biswas, T. Takahashi (University of Toyama, Japan); Y. Kubota (University of Yokohama City, Japan); A. Fujishima (Kanagawa Academy of Science and Technology, Japan)
Dye-sensitized solar cells (DSCs) have been under investigation for the past decade due to their attractive features such as high energy conversion efficiency and low production costs. The high light-to-energy conversion efficiencies achieved with DSCs may be attributed to the nano-porous TiO2 electrode. Reactive magnetron sputtering is a very promising technique for large-area uniform coating of TiO2 thin film and it has potential to control the crystallographic phase and micro-structure through the process of modification of different sputtering parameters. In this present study effort has been made to prepare low cost DSCs with sputter-deposited TiO2 electrode. Nano-porous TiO2 thin films were successfully deposited on SnO2:F substrate by facing target reactive magnetron sputtering deposition technique with different substrate temperatures ranging from room temperature to 400° C. Low cost chlorophyllin based-dye was used along with carbon paste electrodes (CPEs) on SnO2:F glass as a counter electrode. Incident photon-to-current efficiency (IPCE) was calculated for all the solar cells with different TiO2 thin films to evaluate the economic viability of this technique. The amount of dye incorporation was found to be highly dependent on the microstructure and the thickness of the film, as apparent from optical measurements. The variation of photoelectric conversion efficiency of the solar cells with different TiO2 films deposited at different substrate temperatures is discussed with the analysis of different microstructure of the TiO2 thin films and the corresponding dye-incorporation.
TF-ThP-15 Micro Structure Control of RuO2 Nanoparticle Deposition on CNTs by Cyclic Voltammetry Method
H.-M. Wu (Chinese Culture University, Taiwan); W.-T. Hong (Institute of Materials Science and Nanotechnology, Taiwan); L.-C. Chen (National Taiwan University)
The deposition and surface morphology of RuO2 on carbon nanotubes (CNTs) are crucial for the optimal capacitive performance of RuO2/CNTs composite supercapacitors. We investigate the effect of annealing and pulse voltage control on the microstructure of RuO2 nanoparticles during cyclic voltammetry deposition. The results have shown that the capacitance RuO2/CNTs was remarkably achieved to 225 mF/cm2 after annealed at 200? for 2 hours, and 125 mF/cm2 by applying 2 sec on time/ 5 sec off time pulse voltage for half hour, at scan rate 50 mv/sec. The micro texture of RuO2 on CNTs surface was found strongly affected by deposition condition and play a key rule of the capacitive performance.
TF-ThP-16 Porosity Analysis on Supported Thin Nanoporous Films
K.J. Chao (National Tsinghua University, Taiwan)
Ordered nanoporous materials synthesized through surfactant templating exhibit channel structures of amorphous silicate or oxide framework, uniform apertures in the range of 2–30 nm and large surface area of ~1000 m2/g. Their intrachannel void domain provides the confined space to accommodate nanostructured molecules and clusters, and the hydroxyl groups on the intrachannel surface can be chemically modified through silynation. The applications of this type of nanoporous films are closely associated with their pore properties such as pore size and porosity as well as hydrophobicity of intrapore surface. The dielectric constant of nanoporous silica films was reported to decrease with porosity. Gas transport of these films was found to be somewhat affected by their pore size distribution. Furthermore, the uniform thickness and proper density of the nanoporous coating play key roles in its anti-reflection of light. The film texture of supported thin films was found to be affected by substrate. As those films and their substrates usually appear together in application, it is desirable to perform the characterization with on-substrate thin films instead of the films detached from their substrates. In this paper, three pore characterization techniques and their application on supported silica thin films are discussed. The porosity of nanoporous films on flat and dense substrates, including glass and silicon wafer, has been estimated from refractive index obtained by ellipsometric porosimetry and from film density and thickness obtained by specular X-ray reflectivity(XRR). After removal of organic template, such as nonionic P123 Pluronic block copolymer (EO20-PO70-EO20) and cationic surfactant CTAB (C16H33N(CH3)3Br, the intrachannel surface of nanoporous silica is rich in hydrophilic silanol groups, which can absorb water easily. The water absorbency makes the nanoporous silica difficult to maintain a constant density and low dielectric constant, especially at relative humidity > 25 %. Therefore, it is better to perform the XRR under low humidity using an in-situ sample cell and to use the film as a low dielectric layer after hydrophobic modification. To elucidate the relationship between porosity and pore size distribution, the physical adsorption of krypton on dehydrated samples has been measured, and this technique is performed in the absence of water uptake on the hydrophilic nanoporous materials.
TF-ThP-17 Silicon Carbonitrides: On the Attainability of Stable Compounds with High Nitrogen Content
M. Bruns (Forschungszentrum Karlsruhe, Germany); M. Rudolphi, H. Baumann (Frankfurt University, Germany); U. Geckle (Forschungszentrum Karlsruhe GmbH, Germany)

During the last decade great efforts have been made to synthesize pure Si-C-N compounds using various precursor based techniques. These still ongoing activities were mainly stimulated by the expected attainability of materials combining the properties of silicon carbide and silicon nitride. However, most of the approaches result in compounds of deficient nitrogen content and considerable hydrogen and oxygen content, respectively. In contrast, high-purity thin films with tailored elemental composition can be achieved directly from the elements using either RF magnetron sputtering, ion implantation or the combination of both methods. In the latter cases, severalfold implantation at different energies calculated from Monte-Carlo-simulations is necessary in order to synthesize layers with homogeneous element depth-distribution up to the surface. The availability of this powerful preparation tool motivated us to study the ternary Si-C-N phase diagram in more detail. Therefore, the main stoichiometry-defining parameters for sputtering (Si/C target area ratio, N partial pressure, substrate temperature) and ion implantation (energy and fluence) have been varied systematically in order to attain a chosen composition. The chemical composition of the Si-C-N films was characterized by means of X-ray photoelectron spectroscopy (XPS). In addition, Auger electron spectroscopy (AES), Fourier transform infrared spectroscopy (FTIR), and Raman spectroscopy were used to achieve a comprehensive characterization. For quantification XPS and AES data were calibrated with absolute concentration values from non-Rutherford backscattering spectrometry (n-RBS). Resonant nuclear reaction analysis (NRRA) provides non-destructive depth profiles of 15N. The morphology after subsequent annealing was studied by means of X-ray diffraction (XRD) and transmission electron microscopy (TEM).

As one prominent result the N content of 57.4 at.% required for the formation of Si-C-N compounds on the tie line Si3N4 - C3N4 cannot be reached for Si/C ratios ≤1, whereas stoichiometric Si2CN4 can be easily achieved in a reproducible manner. Obviously the compound formation does not follow a simple Si by C substitution. In conclusion these results might give reason to speculate on the attainability of pure C3N4.

TF-ThP-18 New Method to Correlate Crystalline Orientation and Sputtering rate of Tantalum
C.-F. Lo (Praxair Surface Technologies - MRC)
Normally, higher target sputtering rates contribute to higher film deposition rates, which result in increased throughput. Optimizing the cathode design of sputtering tool and the sputtering parameters are common ways to increase film deposition rate. Controlling the grain orientation of the sputtered material is another possible approach to increase the deposition rate. In order to find the desired orientation for higher sputtering rates, the correlation between crystalline orientation and sputtering rate must be identified. In the past, single crystals were used to correlate the crystalline orientation and sputtering rate. This technique was time-consuming regarding sample preparation and limitations on material availability. The new method uses Electron Backscatter Diffraction (EBSD) to identify the orientation of the individual grains on a polycrystalline material prior to being sputtered. By measuring the change in eroded depth of each grain as a function of sputtering kWh, the sputtering rate is obtained, In order to gather higher grain population and ensure the eroded depth of the individual grains are measurable by a height gauge, the grain size diameter is controlled in the range of 3mm to 20mm. This study used a 4 inch diameter by 0,25 inch thickness polycrystalline Tantalum as a test sample to correlate the grain orientation and sputtering rate. By applying 1 kW power with 10 mtorr Ar pressure, the eroded depths of the individual grains at the erosion zone were measured at intervals of 5 kWh. The results showed that the (111) grain orientation has the lowest erosion rate, while the orientations with higher indices tend to be eroded faster. This study demonstrated that the relationship of crystalline orientation to sputtering rate can be easily established by sputtering polycrystalline materials with the assistance of EBSD to pre- identify the orientation of the individual grains. Copyright 2007. Praxair Surface Technologies. All rights reserved.
TF-ThP-19 Combinatorial Thin Film Synthesis of Cerium Doped Lutetium Silicate (Lu2SiO5) Scintillation Materials
J.D. Peak, C.L. Melcher, P.D. Rack (University of Tennessee)
Positron Emission Tomography (PET) is a widely employed medical imaging technique that possesses the advantage of being able to image metabolic activity. In PET imaging a patient ingests a radioactive tracer and gamma rays resulting from positron annihilation are detected by a circular array of single crystal scintillators coupled to photomultiplier tubes (PMT). Since the scintillator crystals are the first step in PET detection, their performance is of paramount importance in final image quality and resolution. Large scintillator crystals are often grown via time consuming processes such as the Czochralski and Bridgman crystal growth techniques. The search for new scintillator crystals can be limited by the time consuming nature of the crystal growth. In this work, we will illustrate the use of a combinatorial thin film synthesis process that is being used to explore new scintillator materials. The combinatorial synthesis process utilizes three individual rf magnetron sputtering sources which can be simultaneously powered to generate a wide composition space of binary or ternary systems. In this work, we have investigated cerium doped lutetium oxyorthosilicate (LSO) as it is currently the most widely used scintillator crystal in PET imaging and provides a good benchmark for our proposed approach. LSO thin films with a gradient of cerium doping have been deposited to investigate the effects of cerium concentration and to compare the thin film properties to those of bulk LSO crystals. We have found that the emission spectra of the thin film materials have similar characteristics compared to the bulk crystals, and the emission intensity changes with the cerium concentration. Cerium doped lutetium-silicon oxide gradients have also been grown. X-ray diffraction measurements have been correlated to the equilibrium phase diagram, and the intensity of the luminescence emission spectra have been correlated with the corresponding phases of the lutetium-silicon system. In this presentation, we will discuss the combinatorial thin film synthesis process, and will correlate the observed structural, morphological, and chemical properties of the thin films to the measured optical properties.
TF-ThP-20 Electrochoromic Properties of Mg-doped Nickel Oxide Films Deposited by rf Magnetron Sputtering
H. Sugawara (Aoyama Gakuin University, Japan); I. Yamamoto (Nissan Motor Co., Ltd., Japan); Y. Sato, Y. Shigesato (Aoyama Gakuin University, Japan)
Electrochromic devices have been expected as the one of the environmental technologies such as architectural "smart" windows which should have large potential to save energy. Ni oxide (NiO) films have been studied extensively as oxidation-coloring materials, which could be used as counter electrode of amorphous WO3 films. Azens, et al. reported that heavy Mg dopings (atomic ratio of Mg/ (Ni+Mg): 44.4 %) on NiO was effective to improve optical transmittance in visible light region of 380-600 nm at the bleached state.1 In this study, we investigated Mg doped Ni oxide films in the wide range of the doping concentration and variation of the electrochromic properties were investigated in detail. Ni oxide flims were deposited on unheated ITO-coated glass substrates by rf magnetron sputtering using NiO-MgO targets with various Mg doping concentrations (atomic ratio of Mg/ (Ni+Mg): 0, 5, 10, 20 %). Ar was used as a sputtering gas and O2 was introduced as a reactive gas (O2 gas composition changes from 0 % to 100 %). Total gas pressure during the depositions was maintained at 5 Pa and the film thickness was adjusted approximately to 200 nm. Crystallinity and surface morphology of the films were analyzed by X-ray diffraction (XRD) and atomic force microscope (AFM), respectively. The composition and the chemical state of the elements were analyzed by X-ray photoelectron spectroscopy (XPS). In order to analyze the electrochromic properties, the specimens were immersed in a 1M KOH electrolyte and underwent voltammetric cycling in a three-electrode arrangement with a Pt counter electrode and an Ag/AgCl reference electrode. The Ni oxide films with the proper amount of Mg doping showed higher coloration efficiency and higher transmittance at the bleached state than Ni oxide films without doping. The optimized doping concentration in this study was 5 % for the highest electrochromic performance.


1 A. Azems, J. Isidorsson, R. Karmhag, C. G. Granqvist, Thin Solid Films, 422 (2002) 1.

TF-ThP-21 Structural Characterization and Electronic Work Function of Pt-Ru Alloy Thin Films
A.P. Warren, R.M. Todi, B. Yao, K.B. Sundaram (University of Central Florida); K. Barmak (Carnegie Mellon University); K.R. Coffey, A.S. Karakoti (University of Central Florida)
Microstructure and the electronic work function of Pt-Ru alloy thin films spanning the compositional range from pure Pt to pure Ru were investigated. Nominally 50 nm thick films were co-sputtered from elemental targets in an ultra high vacuum chamber. X-ray reflectivity and Rutherford backscattering spectroscopy were used to determine the film thicknesses and compositions. The electronic work function of the alloy film samples was determined by analysis of the capacitance-voltage characteristics of films deposited as part of a metal-oxide-semiconductor capacitor structure and found to range from 4.8 eV for pure Ru to 5.2 eV for pure Pt. To better understand the variation in work function for the intermediate compositions, a variety of characterization techniques were used. Transmission electron microscopy was used to examine the microstructure of the samples, and to assess the grain size variation. X-ray and electron diffraction were used to identify the crystalline phases present and to evaluate the extent of crystallographic texture. A notable increase in the compositional range of the hexagonal close packed (hcp) phase was observed, suggesting a metastable extension of the hcp phase stability as compared to bulk Pt-Ru alloys. The steepest change in the electronic work function for the intermediate alloy compositions coincided with a rapid change in the c/a ratio of the hcp phase.
TF-ThP-22 The Importance of the Valence State of Cu in the Formation of Re-BCO using Low Pressure dc-Magnetron Sputtering
K. Smet, R. De Gryse (University Ghent, Belgium)
In this work we propose a novel way of explaining the XRD-spectra of our rotatable dc-magnetron low pressure sputtered YBa2Cu3O7-δ and NdBa2Cu3O7-δ thin films. As is frequently the case at low pressure sputtering our films exhibit an expanded c-axis. This expansion is usually attributed to oxygen deficiency and/or cation-disorder in the Re-Ba2Cu3O7-δ structure. However under certain conditions (low pressure, Cu co-sputtering, lowering deposition temperature,...) the spectra of our films not only reveal an expanded c-axis but the (00n) peaks also tend to split up, whereby one peak has the theoretical c-axis value while the other shows an enlargement. This behaviour suggests the presence of two phases in our thin films. A closer look at XRD-spectra of some of our thin films suggest that a large c-axis expansion in Cu-poor conditions might be attributed to the presence of Y2Ba2O5. The conditions triggering the split as well as the possible presence of Y2Ba2O5, point to the importance of the energy and/or valence state of the Cu atoms during the deposition process. Therefore in this paper we explore a possible explanation for the peak splitting in the XRD-spectra of our thin films based not only on the abundance of the Cu atoms at the substrate but also on their energy or valence state.
TF-ThP-23 Chemical Mechanical Polishing Characteristics of ITO Thin Film Prepared by RF Magnetron Sputtering
G.-W. Choi, W.-S. Lee (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); S.-W. Park, S.-J. Han (Chosun University, Korea)
Indium tin oxide (ITO) thin films have attracted intensive interest because of their unique properties of good conductivity, high optical transmittance over the visible region and easy patterning ability. Thin films of ITO have found many applications in anti-static coatings, thermal heater, solar cells, flat panel displays, liquid crystal displays, electroluminescent devices, sensors and organic light-emitting diodes. ITO thin film is generally fabricated by various methods such as spray, CVD, evaporation, electron gun deposition, direct current electroplating, high frequency sputtering, and reactive sputtering. In this paper, ITO films were grown on glass substrate by RF magnetron sputtering method. To achieve high transmittance and low resistivity, we examined the various film deposition conditions such as substrate temperature, working pressure, annealing temperature, and deposition time. Carrier concentration and carrier mobility of ITO thin films were measured, the transmittance of them also was done. As O2 flow rate and substrate temperature increased, the transmittance of ITO thin film increased because ITO particles formed coarse and good crystalline. Next, in order to improve the surface quality of ITO thin film, we performed the chemical mechanical polishing (CMP) by change of process parameters, and compared the electrical and optical properties of the polished ITO thin film. The thickness of ITO films was measured by ellipsometer. The electrical resistivity was measured by using the four-point probe method. . The best conditions to polish the surface of ITO thin film (which was used as the anode) smoothly were as follows: platen speed, head speed, polishing time, and slurry flow rate were 60 rpm, 60 rpm, 60 sec, and 60 ml/min, respectively. AFM analysis shows that the thin film of ITO was polished smoothly. This work was supported by a Korea Research Foundation grant (KRF-2006-005-J00902).
TF-ThP-24 Effect of Plasma Induced Substrate Temperature Rise on AZO Thin Film Properties in ICP Assisted Bipolar Pulsed dc Magnetron Sputtering
W.K. Yang, J.H. Joo (Kunsan National University, Korea)
To deposit Al doped Zinc oxide film on polymer substrate at room temperature, internal inductively coupled plasma assisted bipolar pulsed dc magnetron sputtering system was used. Pulse frequency was from 50kHz to 250kHz and duty ratio was between 60 - 90%. ICP was generated by a 2MHz rf generator through an L-type matching network and a ceramic shielded Cu antenna. High density plasma could heat the temperature sensitive polymer by high bombarding ion and electron energy flux. The average electron density and temperature in this experiment was 1011 #/cm3 and 2 - 5 eV by Langmuir probe measurements. The distance between the magnetron sputtering target and substrate must be optimized not to give excessive heat flux to the substrate. Variable frequency pulsed dc plasmas showed wide range of shapes at the same gas pressure and power level. Up to 150kHz, the plasma region was extended as the frequency was increased. At higher frequency than 150kHz, the length of plasmas remained same. We measured the transient temperature changes in the plasma region at four different positions and will discuss the correlations between the pulse frequency and transient temperature rise of a polymer substrate.
TF-ThP-25 Effect Of Sodium Addition On Cu-Poor CIGS2 Thin Film Solar Cells
P.S. Vasekar, N.G. Dhere (Florida Solar Energy Center)
Copper-Indium-Gallium Sulfide (CIGS2) is a chalcopyrite material with a near-optimum band gap of 1.5 eV. Recent research in the CIGS2 thin film photovoltaic community is directed towards thinner films because the availability and cost of Indium are limiting factors. The required amounts of metals can be lowered by using thinner films. Initially, small sized grains are formed during the film growth. With continuing growth to larger thicknesses, more favorably oriented grains grow faster at the expense of others and coalesce to form compactly packed large-grain morphology. Hence certain thickness of a thin film is necessary so that the grains start coalescing. The solar cell performance in smaller grained chalcopyrite absorber deteriorates due to detrimental effects of the larger fraction of grain boundaries. It is essential to hasten the grain growth through coalescence to retain high-quality properties even in thinner films. Sodium may play a vital role by enhancing the atomic mobility and improving the coalescence even in thinner films. It will be interesting to study if sodium can play a role in Copper-poor CIGS2 absorbers. In Copper-rich CIGS2 precursors, increased mobility and consequently absorber phase formation is obtained due to pseudo-binary phase region. Hence Sodium may not play a vital role in Copper-rich precursors. Also Copper-rich precursors for this purpose are prepared with 40 percent excess Copper. After sulfurization, the absorber film is etched to remove the excess Copper. This may or may not lead to an exact stoichiometric Cu/In+Ga ratio of 0.92 for the absorber in addition making the film rough. Copper-poor absorber has a comparatively smooth film and better morphology after etching. This work represents a study of morphology and device properties of CIGS2 thin films with Copper-poor absorbers after Sodium is introduced on the substrate in the form of Sodium Fluoride before sputtering Copper, Indium and Gallium.
TF-ThP-26 Surface Modification of Polytetrafluoroethylene by Copper Ion Implantation
K.-W. Weng (Mingdao University, Taiwan); S. Han (National Taichung Institute of Technology, Taiwan); Y.-C. Chen (National Chung Hsing University, Taiwan); D.-Y. Wang (Mingdao University, Taiwan)
Polytetrafluorethylene (PTFE) was implanted copper by a hybrid metal plasma ion implantation (MPII) with varying ion dose. X-ray photoelectron spectroscopy (XPS) was used for the characterization of chemical structures in the ion-implanted assembly, while scanning electron microscopy (SEM) was used for the characterization of morphology. Five chemical bonds, CF3, CF2, CF, C-O, and elemental carbon bonds, were observed on the surface of the ion-implanted assembly. Numerous microfibers were observed on the ion-irradiated surface. Water contact angle of the ion-implanted samples increased gradually with increasing ion dose, and reached a maximum value of 103.50 on the surface of the sample implanted with ion dose of 3 × 1017 ion/cm2. The decrease of microhardness at high ion dose was resulted, accounted for radiation damage. Wear resistance and resistance were also discussed in the copper modified surface.
Time Period ThP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2007 Schedule