AVS2007 Session EM-ThP: Electronic Materials and Processing Poster Session

Thursday, October 18, 2007 5:30 PM in Room 4C

Thursday Afternoon

Time Period ThP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2007 Schedule

EM-ThP-1 Electrical Characteristics of Aluminum Oxide Films Deposited by Spray Pyrolysis in the Presence of Ammonia
S. Carmona, M. Aguilar-Frutis (CICATA-IPN, Mexico); M. Garcia-Hipolito, J. Guzman (IIM-UNAM, Mexico); C. Falcony (CINVESTAV-IPN, Mexico)
Aluminum oxide films deposited by ultrasomic spray pyrolysis in the presence of a mist of H2O-NH4OH show an improvement of the overall electrical characteristics. The films were deposited on (100) silicon wafers at temperatures in the range of 400 to 550 °C. Films 200 to 300 Å thick incorporated in a metal-oxide-semiconductor structure present dielectric constants of 8, interface densities of the order of 5x1010 1/eV-cm2 at midgap and a dielectric strength higher than 2MV/cm for samples deposited at 550°C. The average surface roughness for these films was of the order of 10 Å and the refraction index of about 1.6. Also the IR-transmittance spectra of these films suggest the presence of a silicon oxide interlayer for deposition temperatures above 500 °C.
EM-ThP-2 The Influence of Si Content on the Work Function of WSix Gate Electrode
C.M. Lin, J.-S. Chen (National Cheng Kung University, Taiwan)
Metallic gate electrodes (including metals, metal nitrides and metal silicides) are potential candidates desirable to replace the poly-Si gate electrode for reducing the gate depletion, gate resistance, and dopant penetration problems. In additional, threshold voltage modification is necessary to achieve high-performance NMOS and PMOS devices. Refractory metal silicides have received attention for decades in MOS technology. Among the various metal silicides, tungsten silicide is of particular interest due to its low resistivity, good adhesion and high-temperature stability. The variation of nitrogen content in WNx will lead to the change of work function of W was confirmed in our previous work. In this study, we try to tune the work function of tungsten by adding Si. The W:Si ratio of WSix is experimentally controlled. The microstructure and the C-V curves of the (WSix/SiO2/Si) MOS structure are measured. The work function of WSix films is extracted from the plot of flatband voltage versus SiO2 thickness. For W and WSi0.56 films, the work functions are 4.67 and 4.78 V, respectively. The correlation between work function and the materials characteristics of WSix films will be discussed.
EM-ThP-3 Reduction of Defects at the SiO2/SiC Interface by MeV Electron Beam Irradiation
C. Jeon, J.H. Nam, W. Song, D.H. Oh, J.R. Ahn, C.-Y. Park (Sungkyunkwan University, Republic of Korea); M.-C. Jung, H.J. Shin (Pohang Accelerator Laboratory, Republic of Korea); Y.H. Han, B.C. Lee (Korea Atomic Energy Research Institute, Republic of Korea)
Among wide-band gap semiconductors, SiC has attracted interests in part because a stable native oxide SiO2 can be grown only on SiC. However, metal-oxide-semiconductor field effect transistors (MOSFETs) based on SiC have shown lower electric performance than expected from bulk SiC properties.1 The low electrical performance has been known to be related to defects at the SiO2/SiC interface. The defects produce fixed charges and interface trap states lowering electric performance. Several experiments using x-ray photoelectron spectroscopy have shown that the defects are Si suboxides (Si3+, Si2+, and Si1+) and Si oxycarbides (Si-C-O).2,3 Therefore, the reduction of defect density at the SiO2/SiC interface is one of crucial issues for device applications of the promising wide-band gap SiC. In this study, 1 MeV electron beam was irradiated on a SiO2/SiC wafer at room temperature and ambient condition to reduce the defect density at a SiO2/SiC interface, where a native SiO2 film with a thickness below 1 nm was naturally grown on a 6H-SiC(0001) wafer in air. The effect of the irradiation on the SiO2/SiC interface was investigated schematically by using synchrotron radiation scanning photoelectron microscopy with various incident photon energies (hν's). This makes it possible to measure spatially-resolved core-level spectra along directions parallel and perpendicular to a surface. Spatially-resolved Si 2p spectra show that 1 MeV electron beam irradiation reduces inevitable defects, Si suboxides (Si3+, Si2+, and Si1+) and Si oxycarbides (Si-O-C), at the SiO2/SiC interface with an ultrathin SiO2 film leaving an abrupt SiO2/SiC interface. It is meaningful that the defect reduction by the irradiation succeeds at room temperature and ambient condition. Therefore, MeV electron beam irradiation is a promising method in producing a high quality SiO2 and an abrupt SiO2/SiC interface.


1 Silicon carbide, A Review of Fundamental Questions and Applications to Current Device Technology, edited by W. J. Choyke, H. M. Matsunami, and G. Pensl (Akademie, Berlin, 1998), Vol. I and II.
2 F. Amy, P. Soukiassian, Y. K. Hwu, and C. Brylinski, Phys. Rev. B 65, 165323 (2002).
3 C. Virojanadara and L. I. Johansson, Phys. Rev. B 71, 195335 (2005).

EM-ThP-4 Study on Characteristics of ZnO Thin Film by Chemical Mechanical Polishing
G.-W. Choi, W.-S. Lee, S.-W. Park (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); Y.-K. Lee (Chosun University, Korea)
As a semiconductor material, ZnO has many useful properties such as excellent trasmittance for visible light, very high piezoelectricity and high gas sensitivity. Such properties make them well suited for the realization of many optoelectronic applications including transparent conductive oxides in display devices and solar cells, photodetectors, and transparent thin film transistors. In this paper, The electrical and the optical properties were investigated to find the optimum microstructure of ZnO thin films deposited by RF magnetron sputtering. To achieve high transmittance and low resistivity, we examined the various film deposition conditions such as deposition time, working pressure, annealing temperature, and substrate temperature. Then, electric characteristics, transmittance and surface roughness of ZnO thin films were measured by Hall effect measurement, UV-VIS spectrometer and AFM. Next, in order to improve the surface quality of ZnO thin film, we performed the chemical mechanical polishing (CMP) by change of process parameters, and compared the electrical and optical properties of the polished ZnO thin film. The thickness of ZnO films was measured by a-step. The spectral transmittance of ZnO thin films was measured in the wavelength range 200-900 nm by a UV-VIS spectrometer. This work was supported by a Korea Research Foundation grant (KRF-2006-005-J00902).
EM-ThP-5 Characterizations of Zn(1-x)Mn(x)O Thin Film Grown by Pulsed Laser Deposition
D.-R. Liu, C.-Y. Su (National Applied Research Laboratories, Taiwan)
Diluted magnetic semiconductors (DMS) have recently attracted considerable attention due to their potential applications for spintronic devices, such as spin-valve transistors, nonvolatile memory, and magneto-optical switches. ZnMnO is one of the most promising DMS materials due to its predicted above room temperature ferromagnetism. In this study, the Zn(1-x)Mn(x)O (0.04 < x < 0.30) thin films were grown on sapphire(0001) substrates by Nd:YAG pulsed laser deposition(PLD). The thickness and roughness of the films were characterized by grazing-incidence x-ray reflectivity (GIXR). Atomic force microscopy (AFM) and magnetic force microscopy (MFM) were employed to characterize the surface properties of these films. The high-resolution x-ray diffraction was used to evaluate the crystal quality of the films. The magnetic properties of the ZnMnO thin films were measured by a superconducting quantum interference device (SQUID) magnetometer. Photoluminescence (PL) spectroscopy and ellipsometer were used to characterize the optical properties. The results show that oxygen is very important for the properties of ZnMnO thin films.
EM-ThP-6 Effect of Buffer Layer on InN Films Grown by UHV RF-MOMBE
W.C. Chen, C.-C. Kei, H.C. Pan (National Applied Research Laboratories, Taiwan); S.Y. Kuo (Chang Gung University, Taiwan); J.S. Chen, C.N. Hsiao (National Applied Research Laboratories, Taiwan)
InN nitride films were grown by using a metal-organic molecular beam epitaxy system. Trimethyl-indium and RF radical nitrogen were used as group III and V sources, respectively. Ga-doped ZnO and AlN buffer layers were used to improve the film quality of InN on silicon substrate. The growth rate of InN film can reach 25nm/min by using the Ga-doped ZnO buffer layer. According to X-ray diffraction and field emission transmission electron microscope results, the InN films are polycrystalline wurtzite with (0002) preferred orientation. Compared with the AlN buffer, the Ga-doped ZnO film can improve the film quality of InN. Photoluminescene result suggests that the band gap of InN film is around 0.65eV. Hall effect measurement reveals that the sheet carrier concentrations of InN film is 5 × 1017 cm-2. The growth kinetic of InN films could be controlled by adjusting the III/V ratio, RF plasma power and growth temperature.
EM-ThP-7 Preparation and Characterization of HfO2 Thin Films Prepared by Atomic Layer Deposition on Silicon
K.T. Kim, G.H. Kim, J.C. Woo, C.I. Kim (Chungang University, Korea)
In recent years, the continuous downward scaling of dynamic random access memory capacitors, requires exploitation of capacitive devices of advanced topology, i.e. stacked or trenched structures. In choosing the replacement high-k materials for SiO2 for the transistor gate region several challenging factors should be taken into account such as: a) the dielectric-silicon interface quality and stability b) the relation between the dielectric constant and the energy level configurations (energy band gap) c) energy band offsets, which could lead to an increase in the leakage current d) defect density at the interface. Among the many possible candidate high-k (15-30) dielectric materials, HfO2emerging as a promising gate dielectric material, due to its high dielectric constant and superior thermal stability on Si substrates. Moreover, the ALD (atomic layer deposition) method is preferred for obtaining these high quality high-k dielectric layers, because of its excellent thickness controllability and low thermal budget. In the present study, HfO2 thin films were atomic layer deposited from the liquid hafnium precursor TEMA (Hf[N(CH3)(C2H5)] 4) precursor and O3 oxidation and were characterized using angle resolved X-ray photoelectron spectroscopy , scanning transmission electron micrscopy , and electron energy loss spectroscopy .
EM-ThP-9 DC-to-RF Dispersion Effects in AlGaN/GaN HEMTs Operated Under High Stress
P.B. Shah, B. Huebschman, E. Viveiros, K. Kingkeo, A. Hung, K.A. Jones (US Army Research Laboratory)
Communication and radar applications place strong requirements for linearity, efficiency, gain and power handling capability on high power, high frequency AlGaN/GaN HEMT performance. In AlGaN/GaN HEMTs, the surface, interface and bulk traps have been identified as the cause of nanosecond and microsecond range drain-source current transients present during device turn-on and turn-off. These transients drain power from the source, degrade transmitted information, and affect system operating life. Therefore, it is important to understand the causes of these transients and to reduce their effects, through modeling, and characterization. We focus on physics based and emperical spice models because, once developed, these models will allow design and optimization of MMICs taking into account the transients. Both, unpassivated and AlGaN HEMTs passivated with Si3N4, and SiO2 are considered. The surface traps act as virtual gates, and to model them we are paralleling HEMT and FET models available in Agilent’s ADS software with passive elements subcircuits. Adjusting the modulating pulse duty cycle, and gate and drain voltage biases have provided high-power / high-temperature operation data. Results indicate that although Si3N4 mitigates drain lag effects at low power levels , the transients still appear at higher operation power levels. Also, the transients are not as clearly related to pre- and post-kink regions on the I-V characteristics. The drain lag ratio (off state drain current overshoot value / drain current steady state value) increases with device heating due to large ID and large VDS (20V.) Furthermore, the slope of the drain current decay is also influenced by the gate bias present after the drain bias has returned to zero. Gate lag measurements on a number of AlGaN/GaN HEMTs indicate that the turn-on transient is much shorter (~35 ns) than the turn-off transient (~70 ns) and the drain-current waveform when the HEMT is switched on will have different profiles -- in some cases concave and other cases convex. Furthermore, only in some devices as the time interval with low gate bias (VGS = 0) increases, the drain current in the device’s on-state increases, even if most of the turn-on pulse at the gate is applied while VDS = 0, due to carrier heating. With accurate modeling to match the DC I-V, gate lag and drain lag transients, and S-parameter device data, models were verified and used to reverse engineer the AlGaN/GaN HEMT.
EM-ThP-10 Ohmic Contacts to n- and p-type GaN Based on TaN, TiN, and ZrN
L.F. Voss, L. Stafford, R. Khanna, B.P. Gila, C.R. Abernathy, F. Ren, I.I. Kravchenko (University of Florida)
We examined the potential of transition metal nitrides as a diffusion barrier for Ti/Al-based Ohmic contacts to n-GaN and Ni/Au-based Ohmic contacts to p-GaN. The annealing temperature (600-1000°C) dependence of Ohmic contact characteristics using either Ti/Al/X/Ti/Au (n-Ohmics) or Ni/Au/X/Ti/Au (p-Ohmics) metallization schemes, where X is TaN, TiN, or ZrN, deposited by plasma-assisted sputtering were investigated by contact resistance and Auger Electron Spectroscopy (AES) measurements. For n-GaN (n~3x1017 cm-3), the as-deposited contacts were rectifying and transitioned to Ohmic behavior for annealing at ≥600°C. A minimum specific contact resistivity of ~6x10-5Ω.cm-2 was obtained after annealing over a broad range of temperatures (600-900°C for 60 secs), comparable to that achieved using a conventional Ti/Al/Pt/Au scheme on the same wafer. The contact morphology became considerably rougher at the high end of the annealing range. The long-term reliability of the contacts was also examined-each contact structure showed an increase in contact resistance by a factor of 3-4 over 24 days at 350°C in air. AES profiling showed that long-term aging had little effect on the nitride-based contact structure. For annealing temperatures greater than 500°C, the contacts to p-GaN (p~1017 cm-3) displayed Ohmic characteristics and reached a minimum specific contacts resistance of about 2x10-4Ω.cm2 after annealing at 700°C for 60 s. The specific contact resistance was stable on annealing up to at least 1000°C. However, at high temperatures the morphology of the contacts became very rough and a large degree of intermixing between the metallic layers was observed. The thermal stability of these contacts were found to be superior as compared to conventional Ni/Au, which displayed poor characteristics at anneal temperatures greater than 500°C.
EM-ThP-11 XPS and LEISS Study of GaAs Surface Preparation using Ammonium Hydroxide
F.S. Aguirre-Tostado, M. Milojevic, S.J. McDonnell, R.M. Wallace (University of Texas at Dallas)
The use of high-mobility channel materials, such as GaAs and InGaAs in addition to high-κ dielectrics has attracted substantial interest as a means to maintain integrated circuit scaling and performance. For ultra-thin dielectric films, the quality of the high-κ/semiconductor interface plays a crucial role in determining the electrical properties of a device. GaAs surface preparation plays an important part in controlling the interfacial chemistry.1 Previous photoemission studies2,3 have examined the effects of etching GaAs with HCl, HF and NH4OH in a controlled atmosphere (argon,2 nitrogen3). This paper examines the chemistry of the GaAs surface after a NH4OH-based cleaning procedure, without the use of a controlled atmosphere, for high-k dielectric deposition. In-situ anneals up to 450 ºC promote As-oxide dissociation resulting in the formation of Ga-oxide and As desorption. An in-situ bulk and interface study of sputter deposited LaAlO3 on GaAs is also presented on this surface. This work is supported by the MARCO MSD Focus Center.


1Mikhail V. Lebedev , Thomas Mayer, Wolfram Jaegermann, Surface Science 547 (2003) 171-183.
3M. Rei Vilar, J. El Beghdadi, F. Debontridder, R. Artzi, R. Naaman, A. M. Ferraria, A. M. Botelho do Rego, Surf. Interface Anal. 37 (2005) 673-682.
3Mikhail V. Lebedev, David Ensling, Ralf Hunger, Thomas Mayer, Wolfram Jaegermann, Appl. Surf. Sci. 229 (2004) 226-232.

EM-ThP-12 Study on Scalability of MTJ Cells Using SPM
S. Kim, K. Kim, I. Chung (Sungkyunkwan University, Korea)
We investigated various sizes of magnetic tunneling junction ( MTJ ) cells which are considered as an alternative nonvolatile storage due to its unique characteristics such as high speed, low operation voltage and high endurance. We studied switching field variations in terms of the sizes of sub-micron MTJ cells by measuring the I-V characteristics of MTJ cells and I-H loops, which was obtained by measuring the tunneling current with sweeping a magnetic field. In addition, We obtained magnetic domain images utilizing magnetic force microscope (MFM) for MTJ cells to understand the variation in I-H loop. We found that the switching field of the MTJ cell tends to increase as the size decreases due to the demagnetization field.
EM-ThP-13 The Effect of Synthesis Methods on the Properties of ZnO:Ga Nanoparticle Ceramic Scintillators
D.M. DeVito, B. Kensanli, B.L. Armstrong, J.O. Ramey, C.J. Rawn, J.Y. Howe (Oak Ridge National Laboratory); N. Giles (West Virginia University); L.A. Boatner, J.S. Neal (Oak Ridge National Laboratory)
A number of different radiation detection applications require scintillators with high light output, good attenuation power, low afterglow, and very fast decay times. Fast decay times are of primary importance in positron emission tomography (PET) and nuclear physics experiments. Zinc oxide doped with gallium is known for its fast scintillation properties (sub-nanosecond decay time) under a variety of excitation conditions (alpha, gamma, UV). The expanded development of synchrotron radiation for the investigation of advanced materials has only underscored the need for continued development. This work investigates the effect that synthesis method (urea precipitation, solution phase, combustion synthesis) has on gallium incorporation, particle size and distribution and resulting emission using a wide variety of analytical techniques.
EM-ThP-14 Magnetic Field Dependent Electrical Properties of Vertically Grown Ni Single Nanowire
A.-Y. Koo, H. Yang, C.J. Kang, Y.S. Kim (Myongji University, Korea); J.Y. Cho (Korea University, South Korea); Y.J. Choi (Myongji University, Korea); Y.K. Kim (Korea University, South Korea)
Magnetotransport of nickel nanowires has been widely investigated due to its potential application to magnetic memory devices. However, because of the difficulty to make a good electrical interconnects between single nanowire and metal pad, most magnetotransport studies have been performed on bundles of nanowires embedded in a template or a single nanowire with e-beam lithographically patterned metal contact. In order to overcome the averaged-out information of the bundles of nanowire and the complexity in patterning metal pads, we developed a novel and simple method to measure the magnetotransport properties of Ni single nanowire electrodeposited in an AAO template by adopting scanning probe microscopy technique. In addition to the magnetotransport properties, we studied the electrical properties of Ni single nanowire using the Electrostatic Force Microscopy (EFM) and Scanning Capacitance Microscopy (SCM). We will discuss the magnetic field dependent electrical property variation due to the crystallographic structure of Ni nanowire.
EM-ThP-15 Photoluminescence Study of Silicon Nanoclusters Embedded in a SiO2 Matrix Deposited by Reactive Sputtering and Implanted with Si Ions
J. Carrillo, G. Garcia (Universidad Autonoma de Puebla, Mexico); M. Melendez (Centro de Investigación y Estudios Avanzados-IPN, Mexico); W. Calleja (Instituto Nacional de Astrofisica, Optica y Electronica, Mexico)
The search for a luminescence material compatible with silicon-based integrated circuit processing technology is a need for optoelectronic applications, and has received great attention in recent years. The optical properties of silicon nanocrystals in SiO2 have been studied extensively since visible room temperature photoluminescence (PL) was first observed in such systems. Several models have been suggested in attemps to clarify the origins of the PL properties of indirect-gap group-IV semiconductor nanocrystals. For example, some researchers explain their results using a three-dimension quantum confinement model, while others believe that interface defects and emmiting centers are responsible for PL emission. In this paper, the dependence of silicon nanoclusters embedded in a Si-implanted SiO2 matrix deposited by reactive sputtering and after annealing is presented. Red photoluminescence has been observed at room temperature from deposited films and its intensity exhibits a strong dependence on the temperature and time of annealing. High - resolution transmission electron microscopy, Fourier transform infrared transmission spectra and PL results are used to discuss the emission mechanisms. We acknowledge the partial financial support of VIEP-BUAP through the project 06/EXC/06-G.
EM-ThP-16 Particle Emission from Zinc Oxide during 193-nm Excimer Laser Irradiation1
E.H. Khan, S.C. Langford, J.T. Dickinson (Washington State University)
We report observations of particle and light emission from single crystal and anodic ZnO during pulsed 193-nm irradiation by quadrupole mass selected time-of-flight measurements and photoluminescence spectroscopy. The particle emissions in particular are relevant to thin film production by laser ablation deposition. At fluences between 50 and 600 mJ/cm2, the only ion observed from single crystal ZnO at our level of sensitivity is Zn+. The mean kinetic energy of 3.3 ± 1.6 eV is consistent with electrostatic ejection from defect sites with a net positive charge. Zinc ions absorbed on top of surface electron traps would experience a repulsive force when the underlying trap is photoionized. During prolonged irradiation, the Zn+ intensity drops quickly, reflecting the depletion of surface defects by the emission process. Consistent with the radiation hardness of ZnO, single crystal remains transparent during prolonged irradiation. However, the sample photoluminescence evolves from greenish-blue, to deep blue, and to violet. At fluences above 600 mJ/cm2, the Zn+ intensities increase rapidly and other positive ions appear, including O+ and O2+. Neutral O and O2 appear, followed by neutral Zn at 775 mJ/cm2. Analyses of the neutral time-of-flight distributions indicate effective surface temperatures near 600 K at 800 mJ/cm2 and near 1100 K at 1.2 J/cm2. These temperatures are well below the melting point of ZnO, suggesting that the observed neutral species are produced photochemically and desorb thermally. At these fluences, intense ion emissions persist for many thousands of laser pulses; defects consumed in the emission process are continuously replenished. Emissions from anodic black ZnO are similar to the emissions from single crystals except that comparable emission intensities are achieved at lower fluences for the black ZnO. Weak emissions are also observed from the native oxide on electropolished Zn plate.


1We thank Dr. L. Boatner of Oak Ridge National Laboratory for providing single crystal ZnO. This work is supported by the DOE grant DE-G03-02ER45988.

EM-ThP-17 Pentacene TFTs with Ferroelectric Gate Insulators for Non-Volatile Memory Element
W. Choi, S.H. Noh, J.M. Choi, D.K. Hwang, S. Im (Yonsei University, Korea)
Field effect transistors using ferroelectric materials as a gate insulator (FeFET) could be next generation-memory elements because of its attractive characteristics: non-volatile data retention, small size, non-destructive read-out, and fast programming speed. Recently, thin-film transistors (TFTs) with organic ferroelectric gate insulators have been studied since the fabrication of FeFET is possible at the low temperature compatible with glass substrates. While previous researches showed high performances in terms of good retentions and large hysteresis loop, they have some problems such as high programmable voltage (~100 V), a low mobility (~10-3 cm2/V s). To overcome aforementioned drawbacks, we fabricated pentacene based FeFET with the gate insulator composed of stacking multi-layers. In this presentation, we report on the fabrication of pentacene thin-film transistor with a poly-4-vinylphenol (PVP)/ Poly(vinylidenefluoride/trifluoroethylene) (P(VDF/TrFE))/PVP triple-layer gate insulator. A triple-layer polymer gate insulator is deposited on an indium-tin oxide (ITO)/glass substrate by sequential spin-casting processes: 45 nm-thick PVP for a smoothing layer, 130 nm-thick P(VDF/TrFE) for a ferroelectric layer and 240 nm-thick PVP for a buffer layer. Each layer has own role for non-volatile memory thin file transistor. Our FeFET with the PVP/P(VDF/TrFE)/PVP triple layer exhibits a field effect mobility of 0.2 cm2/V s at each memory state and ~15V threshold voltage shift in the transfer characteristics which originates from the polarization of the ferroelectric layer by 50V writing voltage. The retention time was measured for 104 second under constant read condition. More and advanced details will be discussed in coming presentation.
EM-ThP-18 Flexible Organic Thin Film Transistor using Al2O3-PVP Nano-Composite Gate Dielectrics
H.Y. Noh, Y.G. Seol, S.I. Kim, N.-E. Lee (Sungkyunkwan University, Korea)
Organic TFTs with low threshold voltage (VT), low-leakage current, and high current on-off ratio are needed for various applications in flexible electronics. Employment of organic/inorganic nano-composite gate dielectrics may provide a low VT and flexibility improvement in OTFT devices. For this purpose, the nano-composite gate dielectric layers composed of the PVP polymer matrix and alumina nano-particle filler were investigated in this work. Treatment of alumina nano-particles by a coupling agent was carried out for improved dispersion of the nano-particles and their chemical binding with the PVP matrix. Flexible organic thin film transistors were fabricated using pentacene semiconducting layer and electroplated nickel (Ni) gate electrode on flexible polyimide substrate. Electroplated gate electrode fabricated on the plasma-treated polyimide substrate also provides a good adhesion. PVP/Al2O3 nano-composite layer with different volume fractions was spin-coated. Pentacene and gold source-drain electrodes were thermally evaporated on the gate dielectric layer using a shadow mask in vacuum chamber. Leakage current of the nano-composite gate dielectric was reduced by two order magnitude compared to that of pure PVP layer for the same film thickness. Electrical measurements of the OTFT devices showed the electrical performance of a field-effect channel mobility of 0.94 cm2 V-1s-1, an on/off current ratio of 105, a threshold voltage of -11.5V. Flexible tests indicated that the device with the nano-composite gate dielectric has the electrical performance superior to the device with pure PVP gate dielectric with repetitive cyclic bending.
EM-ThP-19 Fabrication and Characterization of Pentacene Thin Film Transistor with a Polymer Insulator As Gate Dielectric
C. Lee, K. Seo, J. Ko, J. Lee, I. Chung (Sungkyunkwan University, Korea)
Interfacial properties between semiconductor and insulator are critical to determine the performances of organic thin film transistors (OTFTs). In this study, we have fabricated OTFT with a back gate structure on the patterned pentacene active region. We studied the variation of electrical properties in terms of polyvinyl cinnamate (PVCN) which was used as a gate dielectric with different mole concentrations (6%, 7%, 8%). We investigated the leakage current behavior by obtaining topology and its current image simultaneously using scanning probe microscope (SPM). Also, we extracted the electrical properties such as mobility, threshold voltage VT and On/Off ratio from IDS-VDS, IDS-VGS characteristics of OTFTs.
EM-ThP-20 Differentiating True Tunneling from Defect Dominated One, Using Current-Voltage Curve Fitting
A. Vilan (Weizmann Institute of Science, Israel)
The shapes of current-voltage curves which originate from either true tunneling across the insulating film or from metallic shorts through the film (defects) are extremely similar.1,2 While this problem is known since the 1960’s,3 there is yet no direct solution for it. An evidence for tunneling is received only by complementary observations such as characteristic vibronic features (IETS, molecules)4 or magneto-resistance below Tc (superconductors).2 The uncertainty regarding defect transport means that the nominal junction’s geometry (namely contact area and insulator thickness) is not necessarily relevant. This motivated a search for a simplified modeling of charge transfer which avoids any prerequisite input parameters and relays solely on graphically extracted parameters. In my talk, I will show that the two popular, highly non-linear current-voltage (I-V) relations of inelastic tunneling (Simmons model5) and nonresonant super-exchange (Mujica-Ratner model6) can be reasonably approximated by simple cubic relations of two characteristic parameters: the equilibrium conductance (G0) and the shape factor (ρ).7 Both G0 and ρ depend on barrier height and width while contact area contributes only to G0. Therefore, correlating between G0 and ρ is highly informative in evaluating the actual junction parameters. In case of defect dominated transport, the extracted contact area would be much smaller than the nominal one, providing a direct experimental indication for the quality of the junction. This approach can be extended also to the Fowler-Nordheim relations describing field emission at high bias range. The proposed analysis would be demonstrated on various experimental and simulated I-V’s.


1 Z.S. Zhang and D.A. Rabson, J. Appl. Phys. 95, 557-560 (2004).
2 B.J. Jonsson-Akerman et al., Appl. Phys. Lett. 77, 1870-72 (2000).
3 J.L. Miles and H.O. McMahon, J. Appl. Phys. 32, 1176-1177 (1961).
4 J.G. Kushmerick et al., Nano Lett. 4, 639-642 (2004).
5 J. G. Simmons, J. Appl. Phys. 34, 1793-1803 (1963).
6 V. Mujica and M.A. Ratner, Chemical Physics 264, 365-370 (2001).
7 A. Vilan, J. Phys. Chem. C 111, 4431-4444 (2007).

EM-ThP-21 Dye Doped Red Organic Light-emitting Diode
L. Li (China Aerospace and Technology Corporation No.513 Institute, China)
Full color display is the goal of organic light-emitting diode(OLED), but the making of stable red organic light-emitting diodes is a great barrier in mass production of OLED and is also the most interesting study nowadays. Some red light-emitting diodes have been realized by doping a dye rubrene with different dopant concentrations in light-emitting layers, the configuration of devices is ITO/CuPc/NPB/Alq3:rubrene:DCJTB /Alq3/LiF/ Al, where NPB and Alq3 is used respectively as hole transport layer and electron transport layer. By using the theories of Forster and energy band, it is found that the main energy transfer mechanism in doped red OLED is not Forster energy-transfer but sequential carrier trapping mechanism, and rubrene can assist energy transfer from Alq3 to DCJTB more efficiently, which greatly improves the luminence efficiency and performances of devices.
EM-ThP-22 Photoluminescence Characterization of Polythiophene Films Doped with Highly-Functional Molecules
H. Kato, S. Takemura, H. Kobe, Y. Mori, Y. Matsuoka, Y. Watanabe, K. Shimada, T. Hiramatsu, N. Nanba, K. Matsui (Kanto Gakuin University, Japan)
Conducting polymer polythiopene (PT) films incorporated with highly-functional molecules such as copper phthalocyanine (CuPc), fullerene C60, rhodamine B and TCNQ was synthesized and characterized by photoluminescence measurements in order to fabricate organic optical hybrid materials. The electrochemical polymerization was performed in acetonitrile containing thiophene monomer and (Et)4NBF4 as a supporting electrolyte and the polymerization on an ITO substrate was conducted by applying positive voltage to the anode. The molecule was injected by electrochemical and diffusion methods. A photoluminescence emission peak was observed at 594 nm in the case of PT doped sample with CuPc by diffusion method. The emission peak was observed at 540 nm shifted to the lower wavelength in the case of electrochemically positive voltage applied sample after CuPc was diffused into the PT. Fourier transform infrared spectroscopy (FTIR) measurements suggested the partial deformation of the aromatic structure of CuPc and a polymer-CuPc linkage. Adding C60 molecules to the CuPc diffused PT sample by the diffusion method made the emission peak shift to the higher wavelength at 730 nm suggesting the molecular interaction between CuPc and C60 in the photoluminescence emission process because double emission peaks were observed at 590 and 735 nm in the case of single doping of C60. Double doping of C60 and CuPc in PT was also investigated by FTIR. In the case of single doping of C60, successive electrochemical process made the double emission peaks a single peak at 580 nm. The FTIR measurements suggested charged states of C60 or a polymer-C60 linkage. The present work clarified that the photoluminescence emission peak position varied and was controlled by electrochemically applying voltage or adding specific molecules. This work was supported by High-Tech Research Center Project aided by MEXT.
EM-ThP-23 Effect of Water Immersion and Surface Compositional Profile of Photoacid Generator Molecules in Photoresist Materials
S. Sambasivan, V.M. Prabhu, D.A Fischer (National Institute of Standards and Technology); L.K. Sundberg, R.D. Allen (IBM Almaden Research Center)
Near-edge x-ray absorption fine structure (NEXAFS) spectroscopy was used to quantify the surface composition and depth profiling in the top 2 to 6 nm range of photoacid generators (PAG) in thin film photoresist materials. By considering model compositional profiles, NEXAFS distinguishes the surface molar excess within the top 6 nm from the bulk. A surface enriched system, triphenylsulfonium perfluorooctanesulfonate (TPS-PFOS), is contrasted with a perfluorobutanesulfonate (TPS-PFBS) photoacid generator, which displays an appreciable surface profile within a 6 nm segregation length scale. A comparative study of the surface composition of PAG (TPS-PFOS, TPS-PFBS and TPS-Triflate) in photoresist system before and water immersion was monitored via NEXAFS. Results reveal that the loss of PAG occurs after the water immersion in all the three different PAG system examined. Detailed quantification of the PAG loss due to water immersion was seen to critically depend on the size of the PAG and its solubility in water. TPS-PFOS photoacid generator largest in size despite its least solubility in water showed the maximum loss after water immersion followed by TPS-PFBS and TPS-Triflate. These results, while applied to 193-nm photoresist materials, highlight a general approach to quantifying NEXAFS partial electron yield data and find application to immersion lithography fundamentals.
EM-ThP-24 Improvement of Ferroelectric Properties of Pb(Zr,Ti)O3 Thin Film Capacitor Fabricated by Chemical Mechanical Polishing through Post Cleaning Process
Y.-K. Jun, P.-G. Jung, P.-J. Ko (Chosun University, Korea); N.-H. Kim (Sungkyunkwan University, Korea); W.-S. Lee (Chosun University, Korea)
Chemical mechanical polishing (CMP) process was proposed to fabricate the ferroelectric Pb(Zr,Ti)O3 capacitor instead of plasma etching process for the vertical profile without plasma damage in our previous study. Our previous study showed that good removal rate with the excellent surface roughness compared to plasma etching process were obtained by CMP process for the patterning of Pb(Zr,Ti)O3 thin film. The post cleaning process was also one of the very important parameters influenced on ferroelectric properties of Pb(Zr,Ti)O3 thin film capacitor. The ferroelectric properties were degraded without post cleaning process. However, the optimized post cleaning process for Pb(Zr,Ti)O3 thin film was not reported. In this study, the effects on the degradation of Pb(Zr,Ti)O3 thin film capacitors of the contaminations such as slurry residues were investigated. And the exclusive cleaning chemicals for Pb(Zr,Ti)O3 thin film were developed in this work. The improved ferroelectric properties of Pb(Zr,Ti)O3 thin film capacitor were obtained with the optimized post cleaning process after fabrication of Pb(Zr,Ti)O3 thin film capacitor by CMP process. Acknowledgement: This work was supported by Korea Research Foundation Grant (KRF-2006-005-J00902).
EM-ThP-25 Pressure Damage to BLT Thin Film Capacitor Fabricated by Chemical Mechanical Polishing Process
P.-G. Jung, Y.-K. Jun, S.-H. Shin, P.-J. Ko (Chosun University, Korea); N.-H. Kim (Sungkyunkwan University, Republic of Korea); W.-S. Lee (Chosun University, Korea)
BLT thin films have many advantages such as highly fatigue resistance, low processing temperature, and large remanent polarization for high-density ferroelectric memories. However, the problems by plasma etching in patterning process of BLT thin films such as the angled sidewall preventing the densification of ferroelectric memory and being apt to receive the plasma damage were reported. Chemical mechanical polishing (CMP) process was proposed to fabricate the ferroelectric capacitor instead of plasma etching process for the vertical profile without plasma damage. CMP characteristics were presented in E-MRS 2006 spring meeting. CMP characteristics such as the removal rate and WIWNU% were improved by the increase of CMP pressure; however, the ferroelectric properties including polarization-electric field (P-E) characteristics of BLT capacitor fabricated by CMP process with the highest CMP pressure condition were disappeared. Bi content of BLT thin film was rapidly decreased as the increase of CMP pressure surface analysis. This means that Bi was easily removed in high CMP pressure. Therefore, the CMP pressure was controlled in CMP process for BLT thin film capacitor although the removal rate of BLT thin film became lower. Ferroelectric properties of BLT thin film capacitor were recovered with the vertical sidewall without the plasma damage. Acknowledgement: This work was supported by a Korea Research Foundation grant (KRF-2006-005-J00902).
EM-ThP-26 A Study on the Electrochemical Reaction of Cu Electrode using Linear Sweep Voltammetry (LSV) and Cyclic Voltammetry (CV) Method.
Y.-K. Lee, S.-W. Park, S.-J. Han, G.-W. Choi (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); W.-S. Lee (Chosun University, Korea)
Chemical mechanical polishing (CMP) is the most commonly used planarization technique in semiconductor process for ULSI applications. As its name indicates, CMP process depending on the chemical interaction of the slurry with polishing wafer and mechanical down force applied to the wafer. Recently, the application of CMP has been especially popular in the fabrication of Copper damascene structures. However, the low-k materials at 65nm and below device structures because of fragile property, requires low down-pressure mechanical polishing for maintaining the structural integrity of under layer during their fabrication. Also, the problems faced by copper CMP process is the lower removal rate due to the low mechanical down force required by the low k dielectric and to reduce dishing and erosion. To solve these problems, in this paper, we studied electrochemical mechanical polishing (ECMP) as an epoch-making technology that uses electrical current and voltage for copper CMP process. In this experiment, 2 x 2 Cu disk of 99.99% pure was used as experimental samples in a standard two-electrode with Cu working electrode (WE), Pt counter electrode (CE). Linear sweep voltammetry (LSV) and cyclic voltammetry (CV) Method were plotted for each of the electrolyte (NaNO3) and concentration level. And then, we investigated that how this chemical affect the process of voltage induced material removal in ECMP of Copper. This work was supported by grant No. (R01-2006-000-11275-0) from the Basic Research Program of the Korea Science & Engineering Foundation and by Korea Research Foundation Grant (KRF-2006-005-J00902).
EM-ThP-27 Voltage-Activated Electrochemical Reaction of Cu Electrode for Electrochemical Chemical Mechanical Polishing (ECMP) Application
S.-J. Han, S.-W. Park, Y.-K. Lee, G.-W. Choi (Chosun University, Korea); Y.-J. Seo (Daebul University, Korea); W.-S. Lee (Chosun University, Korea)
The chemical mechanical polishing (CMP) process has been widely used to obtain global planarization of inter-metal dielectric (IMD) layers, inter-layer dielectric layers (ILD) and pre-metal dielectric (PMD) layers. Also, several semiconductor device and materials manufacturers have used this method. Especially, copper CMP has become an integral part of multilevel interconnection process. However, for the fabrication of 65nm and beyond technology nodes with fragile low k dielectric and copper, the down force of CMP process must be greatly reduced or eliminated to manage the low mechanical strength of low k film. To overcome these problems, we developed a new planarization technology that uses electrolyte chemistry instead of abrasive slurry. The current-voltage (I-V) curves were employed, in this paper, to evaluate the effect of electrolyte concentration on the reaction trend. From the I-V curve, the electrochemical states of active, passive, transient, and trans-passive could be characterized. The scanning electron microscopy (SEM) was used to observe the surface profile. The energy dispersive spectroscopy (EDS) spectrum was employed to analyze metallurgical components on the surface. From these analyses, it was important to understand the electrochemical mechanisms of the electrochemical chemical mechanical polishing (ECMP) technology. This work was supported by grant No. (R01-2006-000-11275-0) from the Basic Research Program of the Korea Science & Engineering Foundation and by Korea Research Foundation Grant (KRF-2006-005-J00902).
EM-ThP-28 SEM Image Analysis and Resistance Measurements of Cu Via with Defects on a Test Wafer
S. Suzuki, K. Umemura, T. Sunaoshi, Y. Nakano (Hitachi High-Technologies Corporation, Japan)
In LSI process, it is necessary for improvement of yield to inspect and analyze internal defects (e.g. void, etching-stop) of Cu interconnects after an electrical probing test. However, there are complicated and time-consuming procedures that consist of inspection of defects, samples making with focused ion beam (FIB) and cross section observation with scanning electron microscope (SEM). Therefore we have proposed more effective analysis system combined of SEM inspection and electrical probing equipment. This paper presents a relation between voltage contrast (VC) of SEM images and electrical resistance of via on a simple test wafer. The Via patterns of oxide (diameter of 160-300nm, depth of 500nm) were fabricated on double blanket layers which were made up of W layer (thickness of 200nm) to bring conductivity and SiO2 layer to completely insulate from Si substrate. Next, TaN, Ta and Cu layer were buried in the via and planed with CMP at typical single damascene process. Two kinds of defects were in the via on the test wafer. With SEM inspection equipment and analyzing brightness of SEM images, these were classified into normal via and two kinds of defects via. Bright, gray and dark images of via correspond to normal, void and etch stop via respectively. Current-voltage (I-V) measurements of each via to calculate resistance were performed by electrical probing equipment with SEM, and one probe was contacted to W layer as ground line fabricated by FIB, another to Cu surface at the top of the via. First, I-V measurements were performed for normal via with a diameter of 160 - 300nm. The current, which was about 0.2mA at a voltage of 10mV, was continuous and ohmic, so it was sufficient to calculate a resistance. The resistance of several Ω was reasonable for a resistance generally performed in measurements of via chain. The resistance was in inverse proportion to the square of diameter, as expected, and its variation increased for small size via. Second, I-V measurements were performed for etch-stopped or void via with a diameter of 160nm. The resistance of void via was about 10 times of normal via and its variation was larger than variation of normal via. This suggests that variation of resistance dues to variation of void size in Cu. Furthermore, investigation of correlation between the resistance and brightness of SEM images presented numerically allows to know detailed information of defects. Finally, the combination of SEM inspection and electrical probing equipment can enable the estimate of resistance of via. In LSI process, it is possible to monitor defects directly and quantitatively by using SEM inspection equipment.
EM-ThP-29 Aluminum (Al) Contaminants & Copper (Cu) Debris Control in Wire Bonding Process: A Novel Ionization Application
O.A. Janducayan, G. Nuneza (Fairchild Semiconductor Inc, Philippines)
The effect of ionization is already proven over the years in so many fields and most of the positive effect of this can be manifested in the field of semiconductor. Specific in the wire bonding technology, broken welds are one of the most common failure signature in the industry today and the resolution of this failure varies depending on the failure mechanism. Ionization or the neutralization of static energy during wire bonding of aluminum wires in power packages were proven to have beneficial effects; such effects can be utilize to control metal to metal adhesion in the surrounding environment, i.e. adhesion of conductors through Copper (Cu) contaminants against another metal surface, Aluminum (Al) build up in wedge tools, etc. The neutralization of static energy during the processing of these metals can be effectively utilized to improve overall quality of the process. The framework of this paper presents a study of the beneficial effect of ionization that can contribute in the elimination of broken weld cause by the Aluminum build up and the integration feasibility of ionization application in the original equipment manufacturers Wire Bonding process.
EM-ThP-30 Novel Photoresist for Improvement in Pattern Collapse on Silicon Nitride
H.-J. Yun, D.-Y. Kim, Y.-G. Kwon, B.-D. Kim, Y.-H. Kim, T.-S. Kim, Y.-B. Koh (Samsung Electronics, Korea)
Silicon nitride is useful in semiconductor industry for surface passivation as a hard mask. Recently, even at optimum exposure dose (Eop), it was found that photoresist patterns collapsed on silicon nitride which underwent ashing and stripping due to former step failure. The cause of pattern collapse is thought to be adhesion insufficiency resulted from a large discordance between the surface tension of substrate and that of resist. A polymer which has hydrophilic ester moiety was synthesized, and a resist based on this polymer shows better adhesion to silicon nitride. 42 nm line and space patterns were delineated using 193nm lithography tool without pattern collapse.
Time Period ThP Sessions | Topic EM Sessions | Time Periods | Topics | AVS2007 Schedule