AVS2007 Session TF-TuP: Aspects of Thin Films Poster Session

Tuesday, October 16, 2007 6:00 PM in Room 4C

Tuesday Evening

Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2007 Schedule

TF-TuP-1 A Polymer-Based Process for Substrate Transfer or Conformal Coating of Carbon Nanosheets
R.A. Quinlan, B.C. Holloway, M.Y. Zhu, K. Hou (The College of William and Mary)
Carbon nanostructures such as chemically vapor deposited carbon nanotubes have shown remarkable thermal, mechanical and electrical properties, making them an area of intense scientific interest. However, their actual use in new technology has been limited by both the extreme nature of efficient growth conditions and the difficulty of conformally coating the structure while maintaining the original morphology. Carbon nanosheets - vertically oriented nanostructures of 1-5 graphene layers - have shown promise as a more robust alternative carbon nanostructure. Previous reports have detailed the synthesis of carbon nanosheets via RF PECVD on metallic, insulating and semiconducting substrates, their characterization via Raman, XRD, SEM, TEM, FTIR, PIXE, ERDA, and TDS. Of interest, previous BET measurements yielded an effective surface area of ~1,300 m2/g, which is comparable to that of the theoretical limit for carbon nanotubes (1315 m2/g) and much higher than that of activated charcoal. Here we report, that utilizing a customized polymer incorporation technique, nanosheets have been transferred to alternative substrates while maintaining their original morphology. The ability to transfer nanosheets to a substrate that need not take on the thermal budget of the carbon nanostructure synthesis combined with the ability to pattern nanosheets using standard photoresist techniques offers the potential for an enabling technology for more realistic nanosheet-based devices and sensors. In addition the conformal coatings of nanosheets with an industrial silicone, standard photo resist, and two photoactive polymers via a tailored spin-casting or drop-casting procedure of the polymers will also be discussed. SEM shows that, at low loadings, a conformal coating of polymer on the nanosheets is produced; thicker loadings cause intercalation of the polymer between the nanosheets and a complete incorporation of the nanosheets into a solid polymer film. Tuning the deposition conditions allows for air release and eliminates void formation and thereby minimizes undercutting when patterning the nanosheets via photoresist-based techniques.
TF-TuP-2 Carbon Nanotube (CNT) Growth and Substrate Adhesion for CNT-based Materials
M.J. Bronikowski (Jet Propulsion Laboratory)
Growth of Carbon Nanotubes (CNTs) by chemical vapor deposition (CVD) on various substrates has been studied as various CVD process parameters are varied, and CNT up to several millimeters long have been grown in both continuous dense mats and as patterned CNT bundle arrays. The adhesion of these CNT mats to their substrate surfaces has also been investigated as a function of processing conditions, and various methods to improve CNT-substrate adhesion have been investigated. Recent results will be presented from these studies, which have as their long-term goal the incorporation of as-grown, aligned CNT mats into composite materials, so that CNTs’ outstanding mechanical, thermal and electrical properties can be exhibited by the resulting materials.
TF-TuP-3 Vacuum Phase Deposition of Thin Films of poly(2,6-dimethyl-1,4-phenylene oxide)/Polystyrene/Silver Nanostructured Composites and Their Electrical and Surface Adhesion Characteristics
I.S. Bayer, A. Biswas, P.C. Karulkar (University of Alaska, Fairbanks)
Thin nanocomposite films comprising Poly(2,6-dimethyl-1,4-oxyphenylene)/Polystyrene and Poly(2,6-dimethyl-1,4-oxyphenylene)/Silver were fabricated using an electron beam assisted physical vapor co-deposition technique. The fabrication process is a one step novel vapor phase co-deposition of polymers and metal on substrates maintained at room temperature in a vacuum chamber. Glass, Polyethylene terephtalate, aluminum and gold were used as substrates during experiments. Nanocomposite film topologies were studied with various techniques including AFM, X-Ray diffraction, Raman Spectroscopy, Scanning Electron Microscopy and finally static contact angle measurements to estimate surface adhesion properties as a function of silver volume filling. Fabrication of the thin film structures involved evaporation and subsequent condensation of materials from specially designed tungsten crucibles. The crucibles were heated in a controlled manner by bombarding with electrons generated by applying high voltage to tungsten filaments. The deposition system had four crucibles allowing four different components to be co-deposited simultaneously. Before deposition of nanocomposites, preliminary and separate experiments were conducted in order to establish evaporation rates of Polystyrene and Poly(2,6-dimethyl-1,4-oxyphenylene) (a.k.a PPO). Different metal volume filling ratios in the thin film nanocomposites were achieved by adjusting relative evaporation rates of polymers and silver. AFM and electron micrographs of the deposited nanocomposites featured a nanoporous surface morphology. Using a multi-fluid contact angle technique (Kaeble plots) surface energy of the nanocomposites was estimated as a function of metal filling. Composite surface energy polarity increased as a function of increasing silver filling. Above a critical metal filling the composites were conductive, on the other hand particularly below this critical metal filling, based on forward bias I-V measurements, nanocomposite film-metal interfaces displayed Schottky barrier type characteristics. In addition, a number of thin film capacitors were also made by co-deposition of PPO and metal on Aluminum substrates. The thin film capacitors exhibited capacitance densities of about 4-7 nF/cm2 up to 80 MHz frequency.
TF-TuP-5 Role of Carbon Nanotube and Water in Photoconductivity of Polythiophne Based Water Soluble Polymer
J. Choi, D.Y. Kim (Wayne State Univ.)
Polythiophene based water soluble polymer, Sodium poly[2-(3-thienyl)-ethoxy-4-butylsulfonate)] (PTEBS) is attractive as a strong candidate for photovoltaic devices and humidity sensor. PTBS is water soluble, processable using conventional solvents, and shows high response to visible light. However, the higher energy band gap and the low conductivity of the polymer are obstacles for the full utilization of full visible light spectrum range and efficient charge separation, which are critical to the efficiency of photovoltaic devices. In order to develop an efficient solar cell, understanding conduction mechanism of polymer is essential. To overcome the obstacles related to the intrinsic properties of PTEBS, we studied the photo-response as a function of voltage, polymer concentration, carbon nanotube, phase and wavelength of light. In result, we found out the photo-response depends on the applied bias voltages, carbon nanotube incorporation, wavelength of light, phase of polymer and humidity. These results can be directly applicable to design efficient photovoltaic devices for the practical applications.
TF-TuP-6 Study of Point Defects in Uranium Oxide by Ab-Initio and Semi-Empirical Calculations
P.V. Nerikar, S.B. Sinnott (University of Florida)
Uranium oxide is used as the standard nuclear fuel in pressurized water reactors. Point defects have an important effect on the physical properties of the fuel as they can cause swelling of the material and change the crystal structure thereby reducing the fuel performance. The aim is to understand the stability of these defects while including their correct electronic structure. Here, density functional theory calculations using the local spin density approximation with the Hubbard U correction term, or the L(S)DA+U method, is used in combination with thermodynamic approaches to calculate the formation energy of point defects present in UO2. The nudged elastic band method is used to calculate the migration energies. These calculations are supported by semi-empirical simulations using two different potentials and larger supercells. We have been able to predict the correct electronic structure of UO2 which allows us to consider charged defects. We predict the defect formation energies of neutral uranium vacancy and interstitial to be 4.2 eV and 7.29 eV respectively. For the oxygen vacancy and interstitial, we predict the values to be 6.33 eV and -2.71 eV respectively. The calculations thus predict that the oxygen Frenkel pair complex is the dominant defect in UO2, which is also what is observed experimentally. We observe a similar trend with our semi-empirical calculations. We will discuss the effect of temperature, pressure and microstructural features, such as grain boundaries, on the defect formation energies.
TF-TuP-7 Chemical, Optical and Electrical Properties and Radiation Effects of ZrO2/Si and HfO2/Si
J.M. Burst, B.W. Schmidt, N.D. Vora, R.D. Geil, S.K. Dixit, R. Schrimpf, B.R. Rogers (Vanderbilt University)
HfO2 and ZrO2 ceramics find use in many diverse applications. Hafnia has broad usefulness in protective coatings, optical thin films, and the technologically important MOS gate dielectric. Zirconia is studied for its thermal barrier, catalytic, optical and electronic applications. Much previous work has either focused on measuring a specific material property as a function of processing, or measured radiation-induced effects without much accountability given towards material processing. Here we report on the chemical, physical, optical and electrical properties of MOCVD-grown thin hafnia and zirconia films and relate their performance to their surface, interfacial and processing conditions. We combine fundamental and application-specific analyses such as in situ Spectroscopic Ellipsometry, X-Ray Photoelectron Spectroscopy (XPS), Medium Energy Backscattering Spectrometry (MEBS) and electron microscopy with surface roughness and Capacitance-Voltage measurements both before and after radiation exposure.
TF-TuP-8 PL and EL from Eu-Activated CaAl2O4-Based Multicomponent Oxide Thin-Film Phosphors
H. Fukada, S. Matsui, T. Miyata, T. Minami (Kanazawa Institute of Technology, Japan)
In this paper, we describe the photoluminescent (PL) and electroluminescent (EL) characteristics from Eu-activated CaAl2O4-based multicomponent oxide thin-film phosphors. Various Eu-activated CaAl2O4-based thin-film phosphors were developed using multicomponent oxides composed of CaAl2O4 with CaGa2O4 or CaIn2O4 as the host material. The phosphor thin films were prepared on thick BaTiO3 ceramic sheets by either a conventional or a combinatorial r.f. magnetron sputtering deposition (rf-MSD) using a powder target. In ((CaAl2O4)1-X-(CaGa2O4)X):Eu phosphor thin film preparation, a powder mixture of CaO, Al2O3 and Eu2O3 and/or CaO, Ga2O3 and Eu2O3 calcined at a temperature range of 1000-1300°C in either a pure Ar gas or air was used as the target. The sputter depositions were carried out under the following conditions: atmosphere, either a pure Ar or an Ar+O2 (2%), pressure, 6 Pa; r.f. power, 140 W; and substrate temperature, 100-350°C. Some deposited thin films were postannealed in either air or an Ar+H2 (5%) gas atmosphere for 30-300 minutes at 500-1000°C. It was found that PL characteristics of CaAl2O4:Eu phosphor thin films were significantly affected by the deposition and postannealing conditions. Intense yellow-green (Y-GL) PL emission was observed from as-deposited CaAl2O4:Eu thin films prepared in a pure Ar sputter gas atmosphere using a powder target calcined at 1000°C in air. In addition, blue (B) PL emission was observed in CaAl2O4:Eu thin films postannealed in an Ar+H2(5%) gas atmosphere at a temperature above approximately 800°C, and the intensity of the B emission increased as postannealing temperature was increased. The EL device fabricated using a postannealed CaAl2O4:Eu thin film as the emitting layer exhibited red EL emission. In addition, in the ((CaAl2O4)1-X-(CaGa2O4)X):Eu multicomponent oxide phosphor thin films were prepared by the combinatorial rf-MSD method. The obtained PL and EL characteristics from these multi-component oxide phosphor thin films were considerably affected by the postannealing conditions as well as the chemical composition (CaGa2O4 content or Ga/(Al+Ga) atomic ratio; X
TF-TuP-9 Macroscale Surface Morphology in KMC Simulations of Growth on Surfaces with Negative Ehrlich-Schwoebel Barriers
A.K. Jones, A. Ballestad, S. Cheng (University of British Columbia, Canada); T. Li (University of Illinois, Urbana); J. Rottler, T. Tiedje (University of British Columbia, Canada)
In order to understand which atom scale processes are important in controlling macroscopic shapes in epitaxial crystal growth, we have simulated the epitaxial growth process numerically, using a kinetic Monte Carlo (kMC) simulation of a restricted solid-on-solid model. Step edge potential barriers (Ehrlich-Schwoebel or ES barriers) are a well-known example of an atomistic property which has an important effect on macroscopic surface morphology. Most theoretical work has concentrated on the effects of positive ES barriers, which are commonly found in metals, and which lead to spontaneous mound formation. In the case of GaAs and probably other III-V semiconductors, epitaxial growth is found to be stable, suggesting a negative ES barrier. In this paper we consider epitaxial growth dynamics for vicinal surfaces with negative ES barriers. In kMC simulations as a function of surface slope we find a "magic slope" with a step density minimum. The step density minimum is caused by the fact that linear arrays of steps are more efficient at capturing adatoms than step edges in the form of loops, thereby reducing island nucleation and step density for small vicinal angles. We show that the step density minimum produces a preferred macroscopic slope similar to a crystal facet but with a different physical origin, in the smoothing of patterned substrates during epitaxial growth. Conventional wisdom1 suggests that in the absence of nucleation, negative ES barriers lead to unstable step edges (step bunching) for 1D vicinal 'surfaces' and positive ES barriers lead to stable step edges (equally spaced steps). In kMC simulations on 2D vicinal surfaces we find a contrary result. In the case of negative ES barriers the steps become evenly spaced and the growth is stable, with or without nucleation. For 2D vicinal surfaces with positive ES barriers, at low temperatures nucleation on top of monolayer islands eventually leads to mounds and unstable growth. If nucleation is turned off, above a critical value of the ES barrier, step edge wandering eventually produces enclosed regions (pits) which do not fill in, which also leads to unstable growth.


1J. Villain, J. de Physique, 1 1991.

TF-TuP-10 Detection of H2 at High Temperature by Nickel Oxide (NiO) Based Gas Sensors
H. Steinebach, L.W. Rieth, F. Solzbacher (University of Utah)
Stricter restrictions in exhaust gas emissions are creating a need for gas sensors that can operate in harsh environments and high temperatures (> 500 °C). NiO is one of the few stable p-type oxide-based gas sensitive semiconductors. Being exposed to reducing gases like H2 or NH3 decreases the charge carrier concentration. The sensitivity of ~50 nm thick NiO films with and without 5 nm thick gold, platinum or titanium promoter layers were compared to each other. Promoters are used to increase the gas sensitivity of metal oxides. H2 sensitivities between 3 and 7.5 were measured from NiO films with Ti promoter layers at an operating temperature of 600 °C. NiO thin film were deposited by radio frequency (RF) sputtering with an 8" ceramic NiO target in a pure argon atmosphere (10 mTorr, 500 W, 2.48 nm/min). The films were deposited on inter-digitated electrodes (IDE) with 100 µm finger spacing to measure the change in resistance during test gas exposure. Promoter layers were deposited in a separate sputtering system. The gas sensing films were annealed for 5 hours at 900 °C in synthetic air (80% N2, 20% O2), nitrogen or oxygen in order to achieve stable films. As deposited and annealed films were characterized by Atomic force microscope (AFM), X-ray photoelectron spectroscopy (XPS) and X-ray diffraction (XRD) to measure the surface morphology, composition and grain size. The grain size was calculated by the Scherrer equation to be ~22 nm for the (200) NiO, Bunsenite peak, for all annealing conditions. Particle size measured from AFM micrograph were consistently near 100 nm from all annealing conditions. The gas sensitivity of these films was investigated using H2 in synthetic air (80% N2, 20 % O2) or nitrogen as a carrier gas. The carrier gas composition has a strong effect on sensitivity of NiO gas sensors, with higher sensitivity in synthetic air. Pure NiO films without promoters showed gas sensitivity (S) ~1 to 4700 ppm H2 at an operating temperature of 600 °C in synthetic air (80% N2, 20 % O2) carrier gas. Using the same testing conditions, promoted NiO sensitive layers with Pt and Au promoter layers showed reduction in sensitivity (S<1). Using Ti promoter layers increased the sensitivity up to 7.5 at these conditions.
TF-TuP-11 Effects of Annealing Gas on Characteristics of High-k Oxide Films (HfO2) Deposited by ALD for MIM Capacitors
S.W. Jeong, B.K. Kim, E.T. Lee, Y.H. Roh (Sungkyunkwan University, Korea)
Research on the insulating films in metal-insulator-metal (MIM) capacitors has focused on ways to increase the dielectric constant of insulator to improve the packing density of integrated RF capacitors. High-k oxide (e.g., Ta2O5) has been suggested as an alternative material to replace SiO2 and Si3N4. However, reliability problem caused by leakage current may limit the application of Ta2O5. Properties of HfO2 grown on the Si substrate shows a dielectric constant which is comparable to that of Ta2O5. Further, the characteristics of HfO2 more stable than those obtained from Ta2O5, suggesting that we may use HfO2 film as insulator in MIM capacitor. In this work, we report the physical and electrical properties of ALD-deposited HfO2 film (11-12 nm) annealed at various gases (N2, O2, N2O). HfO2 films were annealed at 400, 600, 800°C using a rapid thermal processor for 1 min. Top and bottom metal electrodes were Pt and Pd, respectively. The electrical characterization indicates that HfO2 MIM capacitors fabricated at 800 °C under O2 ambient show the most desirable electrical properties, such as a high capacitance density of ~16.9 fF/µm2, a low leakage current of 2.7×10-4 A/cm2 at -5 V, low-voltage coefficients of capacitance, and good-frequency dispersion properties. In addition, better properties were obtained from the samples annealed using N2O than those of samples treated using N2. These results indicate that oxygen content has certain role(s) on the electrical properties of ALD-deposited HfO2 film. These results, as well as further investigation of physical properties of the samples using XPS, will be presented at the conference.
TF-TuP-12 Transparent Multi-Layer Diffusion Barrier Coating on PES Substrate by Low-Temperature PECVD
S.M. Park, Y.B. Yun, D.J. Kim, N.-E. Lee (Sungkyunkwan University, Korea)
Recently, transparent barrier coatings on polymer substrate have received much attention for liquid crystal displays, organic light-emitting-diode (OLEDs) displays, solar modules, and food packing applications. In this work, SiOxNy and methylcyclohexane (MCH) plasma polymer films as transparent diffusion barrier coating were deposited by a low temperature plasma enhanced chemical vapor deposition (PECVD) on polyether sulfone (PES) substrate using hexamethyldisilazane (HMDSN)/N2O/O2/Ar gas and methylcyclohexane/Ar gas mixtures, respectively. Effects of source flow rates, plasma power and chamber pressure were investigated. The deposition rate, chemical bonding states, transparency, surface morphology and WVTR were characterized by FE-SEM, fourier transform-infrared (FT-IR) spectroscopy, UV-visible, atomic force microscope (AFM) and permeability measurement system. Multilayer structures were also fabricated in one PECVD system. Transparent multilayer of SiOxNy/plasma polymer with the optical transparency larger than 90%.
TF-TuP-13 Fabrication of Silver Oxide Films using Reactive Bias Sputter-Deposition
T. Ichinohe (Tokyo National College of Technology, Japan); M. Iwase (Tokai University, Japan); S. Masaki, K. Kawasaki (TDY Co, Ltd., Japan)
Silver suboxide (Ag2O) is known to be a p-type semiconductor with a narrow band gap (1.2 eV). Lower temperature processes are needed to form the oxide films because the oxide is reduced by heat-treatment over 200 °C by dissociating the oxygen. This report describes fabrication of silver oxide films at lower temperatures using a reactive sputtering system by applying a substrate bias voltage (Vs). According to XRD analyses, silver films have been deposited by bias free sputtering with a lower oxygen partial pressure while AgO films have been fabricated with a high oxygen partial pressure. In the lower oxygen partial pressure environment, applying a substrate bias made silver react to oxidize such that Ag2O3 and Ag2O films were fabricated by applying a Vs = 20 V, and Ag2O3 being formed at Vs = 40 V. Ag2O3 films showed very high resistivity. Ag2O films showing p-type characteristics and lower resistivity were fabricated at Vs = 40 V after bias free sputter-deposition, that is, combining with and without substrate bias resulted in the fabrication of Ag2O. The negative oxygen ions accelerated by the substrate bias can contribute to fabricate silver oxide in the lower oxygen partial pressure environment.
TF-TuP-14 Photoluminescence Excitation Spectroscopy of Cu(In,Ga)Se2 Thin Films
D.N. Hebert, A.J. Hall, A. Rockett (University of Illinois at Urbana-Champaign)
Cu(In,Ga)Se2 (CIGS) and related materials yield the highest performance thin film solar cells and show excellent promise for very high efficiency multijunction devices if adequate single junction devices can be produced. However, intrinsic defect chemistry and the origin of band edge fluctuations are not understood and are likely responsible for limited device performance. Low-temperature, long-wavelength photoluminescence excitation (PLE) spectra of films with varying composition, growth temperature, substrate orientation and crystallinity are presented. Despite low excitation power, low-temperature, long-wavelength PLE measurements allow for the detection of luminescence by selective wavelength excitation and reveal sub-gap absorption bands. Position-dependent photoluminescence spectra acquired from epitaxial bicrystals and apertured photoluminescence spectra on device-grade polycrystals are also presented. Local area scanning tunneling microscopy band edge measurements on in situ cleaved and sputtered CIGS are used to interpret PL results.
TF-TuP-15 Phase Formation Control of Sputtered Ta(N) Films
R.L. Kinder, N. Mackie, A. Pradhan (Novellus Systems, Inc.)
High quality Ta(N) barrier is required for the 65 nm node and beyond. Not only must this Ta(N) barrier be dense and conformal, it must have the correct phase and orientation in order to minimize electromigration (EM) and stress migration (SM) of dual damascene Cu structures. Two phases of Ta barrier can be observed after sputter deposition: a-Ta, which is body centered cubic (bcc), and beta-Ta which is distorted tetragonal. These two phases have different properties: alpha-Ta has lower bulk resistivity (15 - 30 µW cm vs. 150 - 200 µW cm) higher temperature coefficient of resistivity, and slightly higher density. Furthermore, a-Ta has a higher potential for driving <111> Cu orientation for better EM reliability. The formation of alpha-Ta by sputter deposition processes can occur through several methods. i) Small additives, such as N or O, into the Ta film can lead to a change in the crystalline structure from tetragonal beta-Ta to distorted a-Ta. ii) deposition temperature exceeding 400 °C. iii) using a base layer of TaN between the dielectric and deposited Ta film can drive the formation of alpha-Ta. More recently it has been observed that phase of deposited Ta(N) grown films largely depends on the combination of ion kinetic energy and ion-to-neutral flux ratio. Previous work has shown that low kinetic energy (< 20 eV) and high ion-to-neutral ratio (> 15) are essential to synthesizing low resistivity alpha-Ta. In this study, it was observed using selective area diffraction (SAED), that, under normal sputter deposition conditions, beta-Ta tends to form preferentially on the field, while weak alpha-Ta tends to form along feature sidewalls. Several studies various TaN underlayers to promote alpha-Ta formation throughout the feature have been conducted. Experimental and modeling studies to characterize process regimes necessary to synthesizing low resistivity alpha-Ta film will be discussed. Overall, the ability to deposit a TaN/Ta barrier and seed layer with the correct phase and orientation throughout the feature is essential in order to improve reliability of dual damascene Cu structures. Although, a TaN underlayer does promote alpha-Ta phase, as the TaN barrier size shrinks, the ability to deposit sputtered alpha-Ta throughout the feature is critical. It was demonstrated that the high ionization efficiency of the Inova HCM allows for the deposition of alpha-Ta at the feature sidewall.
TF-TuP-16 An EIES Flux Sensor for Monitoring Deposition Rate at High Background Gas Pressure with Improved Accuracy
C. Lu (C. Lu Laboratory); C.D. Blissett, G. Diehl (Sigma Instruments)
Electron impact emission spectroscopy (EIES) has been proven to be a critical tool for film composition control during co-deposition processes for the fabrication of multi-component thin film materials including the high-efficiency CIGS (copper-indium-gallium-diselenide) photovoltaic cells. This technique is highly specific to atomic species because the emission spectrum of each element is unique, and the typical width of atomic emission lines is very narrow. Non-interfering emission lines can generally be allocated to different atomic species. However, the electron impact emission spectra of many molecular species are often broadband in nature. When the optical emission from an EIES sensor is measured by using a wavelength selection device with a modest resolution, such as an optical filter or monochromator, the emissions from common residual gases may interfere with that from the vapor flux and cause erroneous flux measurement. The interference is most pronounced when measuring low flux density with the presence of gases such as in reactive deposition processes. This problem is solved by using a novel EIES sensor that has two electron impact excitation sources in separate compartments but with one common port for optical output. The vapor flux is allowed to pass through one compartment only. Using a tri-state excitation scheme and appropriate signal processing technique, the interfering signals from residual gases can be completerly eliminated from the output signal of the EIES monitor for process control. Data obtained from Cu and Ga evaporations with the presence of common residual gases such as CO2 and H2O are shown to demonstrate the improvement in sensor performance. The new EIES sensor is capable of eliminating the effect of interfering residual gases with pressure as high as in the upper 10-5 Torr range.
TF-TuP-17 Top-emitting Organic Light-emitting Diodes with Ba/Ag/ITO Cathode and Built-in potential Analyses in these Devices
G.Y. Yeom, J.T. Lim (Sungkyunkwan University, Korea)
The top-emitting organic light-emitting diodes (TEOLEDs) have generated considerable interest in recent years, owing to their use in active matrix displays. Significant progress has been made in the development of thin semitransparent conducting cathodes (STCCs), semi-transparent conducting cathode (STCC) thin film composed of Ba (x nm)/Ag (10 nm)/ITO (100 nm) (x: 0,, 1, 2 and 3 nm) were fabricated by thermal evaporation. The optical properties of STCCs at the wavelength of 535 nm showed the transmittance of about 77 % and the reflectance of about 13 %, respectively. The TEOLED which is consisted of glass/Ag (100 nm)/tin-doped indium oxide (125 nm)/4,4’,4”-tris[2-naphthylphenyl-1- phenylamino]triphenylamine (2-TNATA, 30 nm)/ 4,4’-bis[N-(1-napthyl)-N-phenyl- amino]-biphenyl (NPB, 15 nm)/tris(8-quinolinolato) aluminum (III) (Alq3, 55 nm)/Ba (x nm)/Ag (20 nm)/ITO (100 nm) showed the highest driving performance when the deposition thickness of Ba is 1 nm. The effect reducing the barrier height for an electron-injection from Ba to Alq3 can explain a driving performance of these devices. We proved these results by measuring a built-in potential in the devices. Meanwhile, in the case of the top-emitting device with the Ba (1 nm)/Ag (20 nm)/ITO (100 nm) cathode, the maximum luminance was about 80,000 cd/m2 and an external quantum efficiency at about 100 cd/m2 was 1.5 %.
TF-TuP-18 Novel Method to Fabricate BLT/CeO2/Si MFIS Structure by One-Step Chemical Mechanical Polishing Process
S.-H. Shin, P.-G. Jung, Y.-K. Jun, P.-J. Ko (Chosun Univ., Korea); N.-H. Kim (Sungkyunkwan Univ., Korea); W.-S. Lee (Chosun Univ., Korea)
Metal-ferroelectric-insulator-silicon field-effect-transistors (MFISFETs) have attracted much attention as promising non-volatile memory devices due to their nondestructive read operation possible. Both the ferroelectric and insulator materials are generally known not to be etched well with plasma etching system. Plasma damage on sloped sidewall of the ferroelectric materials and integration problem by the sloped sidewall were also reported in plasma etching process. In this study, BLT/CeO2/silicon structure was fabricated by damascene process of chemical mechanical polishing (CMP) with one-step polishing process. The process parameters of CMP were optimized for one-step CMP process for BLT/CeO2 films. This novel method to fabricate the MFIS structure could reduce the many process steps. Vertical sidewall of the BLT/CeO2 structure was also obtained, which led to densify the devices without the plasma damage. The C-V and I-V characteristics of the BLT/CeO2/Si structures were measured for MFISFET devices. Acknowledgement: This work was supported by a Korea Research Foundation grant (KRF-2006-005-J00902).
TF-TuP-19 Dielectric and Piezoelectric Properties of Polished PZT Ceramics for MEMS Applications
P.-J. Ko, P.-G. Jung, Y.-K. Jun (Chosun University, Korea); N.-H. Kim (Sungkyunkwan University, Korea); W.-S. Lee (Chosun University, Korea)
Piezoelectric actuators and sensors are main applications of micro-electro-mechanical system (MEMS). The perovskite lead zirconate titanate (PZT) piezoelectric ceramic is one of the most commonly used piezoelectric materials due to its high dielectric constant and piezoelectric coupling coefficient. The piezoelectric PZT films have been successfully fabricated and processed by using various semiconductor technologies in manufacture of MEMS applications. Some investigations on PZT-chemical mechanical polishing (CMP) process were also carried out for the patterning and the improvement of surface morphology. In this study, dielectric and piezoelectric properties of the polished PZT films were investigated for the application of CMP process to the piezoelectric MEMS applications. The crystal structure and the microstructure of PZT films were investigated by X-ray diffraction (XRD) and scanning electron microscopy (SEM), respectively before and after CMP process. The P-E hysteresis loops of the polished PZT films were analyzed and compared to the as-deposited samples. Consequently, the CMP process parameters were optimized for the sufficient piezoelectric coefficient of PZT films. Acknowledgement: This work was supported by Korea Research Foundation Grant (KRF-2006-005-J00902).
TF-TuP-20 Nitrogen-dope Effect for Photofunctional Properties of Titanium Dioxide Films Prepared by Magnetron Sputtering
J. Hamaguchi, I. Takano, M. Sato (Kogakuin University, Japan)
Titanium dioxide is anticipated as one of materials which are alternative for existing solar cell technology based on silicon. Silicon has a wide wavelength range including visible light, while titanium dioxide has a shorter wavelength range under ultraviolet light, according to each band gap. Hence, many researchers have studied about improvement of absorbance band in titanium dioxide, such as doping of nitrogen using plasma,1 doping of chromium using ion implantation,2 or dye sensitization of titanium dioxide. In this study, photofunctional properties of nitrogen-doped titanium dioxide were investigated about the films prepared by each method of ion implantation to titanium dioxide and reactive magnetron sputtering. In the former, nitrogen ion implantation was performed after preparing titanium dioxide by reactive magnetron sputtering. In the latter, nitrogen doping was performed during formation of titanium dioxide by reactive magnetron sputtering in nitrogen gas atmosphere. Composition and microstructure of these films were investigated by X-ray photoelectron spectroscopy and X-ray diffraction, respectively. Chromatic change of methylene blue solution was applied to photocatalytic property. Light irradiation to titanium dioxide in a methylene blue solution was carried out using a commercial sterilizing lamp as ultraviolet light and a commercial fluorescent lamp as visible light. Transmittance of a methylene blue solution was measured by a spectro photometer. Furthermore, photocurrent between titanium dioxide and platinum electrode were measured in a potassium hydrogencarbonate solution using an unresisted ammeter. In the case of nitrogen doping during film formation, photofunctional property using a fluorescent lamp showed lower photocatalytic effect and photocurrent as compared with the case of a sterilization lamp. The higher photocatalytic effect and photocurrent using a sterilization lamp were obtained at N2 gas flow rate of 0.6 sccm and 0.4-0.5 sccm respectively, while both properties using a fluorescent lamp also showed the same behavior as a sterilization lamp.


1R.Asahi, et al.; Science, 293(2001)269.
2S.Anpo, et al.; Surface Science Society of Japan, 20(1999)60.

TF-TuP-21 Formation of Nitrogen-doped Diamond like Carbon Films in a C7H8 Gas Atmosphere by the Ion Beam Assist Method
K. Harada, I. Takano (Kogakuin University, Japan)
DLC (Diamond Like Carbon) constituting a class of new materials is an amorphous carbon including hydrogen and has similar properties of matter with a diamond. DLC film was formed by the ion beam evaporation method in the early 1970's,1 and after that has been manufactured by various methods such as CVD (Chemical Vapor Deposition) or PVD (Physical Vapor Deposition). Because representative mechanical properties of DLC is to show the high hardness and low friction coefficient, DLC is applied in various filed such as motor parts or tools. Also the electric properties of DLC are anticipated as field emission source. In this study, mechanical properties were investigated about the DLC films prepared by the ion beam assist method. The ion beam assist method of present study was applied for formation of nitrogen-doped DLC films using irradiation of nitrogen ion in a C7H8 gas atmosphere. Composition and microstructure of these films were investigated by X-ray photoelectron spectroscopy and Raman spectroscopy. The mechanical properties were evaluated by the hardness and a friction coefficient. The hardness was measured from size of an indentation with a Knoop indenter. The friction coefficient was measured for a SUJ2 ball with a constant load 0.98N until the sliding distance reaches a length of 10m. On mechanical properties, the film prepared at an acceleration voltage of 12 kV obtained the highest hardness in spite of very thin carbon layer, while the friction coefficient of this film showed the high value close to that of stainless steel. Conversely, the hardness of the film prepared at a voltage of 1 kV showed the low value, while the friction coefficient of this film obtained the lowest value. The ware track of the film prepared at a voltage of 1 kV had a small thrust in a depth as the film showed the low friction coefficient compared with the film of 12 kV. The concentration of nitrogen was around 7% at an acceleration voltage of 1 kV and was not an enough value for leading the drastic change of physical matters.


1S. Aisenberg , R. Chabot : J. Appl. Phys., 42, 2953 (1971).

TF-TuP-22 Effects of Annealing on the Characteristics of SnO2:Sb Films Prepared by RF Magnetron Sputtering Method for Transparent Electrodes
S.U. Lee, W.S. Choi, B. Hong (Sungkyunkwan University, Korea)
Tin oxide (SnO2) have been widely used as transparent conducting thin film material for application in various fields such as liquid crystal displays, optoelectronic devices, solar cells, heat mirrors and gas sensors, etc.1 Recently the increased utilization of many transparent electrodes has accelerated the development of inexpensive transparent conducting oxide (TCO) materials. Antimony-doped tin oxide films (ATO) show the best thermal and chemical stability than other TCO films such as Al-doped zinc oxide (ZnO) and tin-doped In2O3 (ITO). Moreover, the production cost of ATO films is cheaper than other TCO materials. However its resistivity is still unsatisfactory. So, in this work, we investigated the effect of annealing treatment on the resistivity variation of the ATO films. Tin oxide films doped with antimony (Sb) of 6 wt% were deposited on 7059 corning glass by RF magnetron sputtering methods for the application to transparent electrodes. The synthesized ATO films were annealed at temperatures ranging from 300 to 600 oC in steps of 100 oC using RTA equipment in oxygen and nitrogen ambient, respectively. We measured and compared the properties of the post annealed ATO films using structural, electrical and optical methods as a function of the annealing temperature.


1A.V. Tadeev, G. Delabouglise, M. Labeau, Thin Solid Films 337 (1999) 163.

TF-TuP-23 Self-Assembly of Organic Thiocyanates on Gold: An Alternative to Thiols?
C. Shen, M. Buck (University of St Andrews, UK); T. Weidner, M. Zharnikov (Universität Heidelberg, Germany)
Adsorption of organothiols is a standard way to form self-assembled monolayers (SAM) on coinage metals, in particular on gold and it is the ease of preparation and flexibility in the design of the molecular structure which enables the tailoring of surface properties for a diversity of applications in (bio)sensors, electronics, or electrochemistry. However, thiols are prone to oxidation to disulfides which can seriously impede the formation of SAMs. This becomes particularly apparent in the case of dithiols where poorly ordered multilayers can form rather than well-defined monolayers with one thiol binding to the substrate and the other thiol moiety forming the SAM surface. A viable alternative to protected or unprotected thiols has recently been reported by Ciszek et al.1 who demonstrated that thiocyanates form thiolate SAMs through cleavage of the S-CN bond. While SAMs formed from thiocyanates are, therefore, chemically identical to thiol SAMs, there are structural differences between the respective SAMs with organothiocyanates resulting in layers of inferior quality.1,2 Since the structural quality of SAMs is a decisive factor in some applications such as those related to electrochemistry we have investigated possibilities of how to improve the quality of thiocyanate based SAMs. Applying spectroscopic and electrochemical characterisation and scanning tunneling microscopy it is shown that high quality films from isocyanate precursors can be formed. However, the quality is critically dependent on the preparation parameters such as temperature, concentration, or exposure time.


1 Ciszek, J. W.; Stewart, M. P.; Tour, J. M. J. Am. Chem. Soc. 2004, 126, 13172.
2 Dreesen, L.; Volcke, C.; Sartenaer, Y.; Peremans, A.; Thiry, P. A.; Humbert, C.; Grugier, J.; Marchand-Brynaert, J. Surf. Sci. 2006, 600, 4052.

TF-TuP-24 Effect of Substrate Temperatures on Amorphous Carbon Nitride Films Prepared by Reactive Sputtering
M. Aono, H. Akiyoshi, N. Kitazawa, Y. Watanabe (National Defense Academy, Japan)
Amorphous carbon nitride (a-CNx) thin films were deposited on silicon single crystal and fused silica substrates by rf-reactive sputtering method using a graphite target and the effect of the substrate temperatures on film properties has been studied. The substrate temperature was varied from room temperature to 873 K. Film composition and their chemical bonding states were analyzed by X-ray photoelectron spectroscopy (XPS), Raman spectroscopy and Fourier transform infrared spectroscopy (FT-IR). Film hardness was measured by nano-indentation method using a Berkovich diamond tip and the maximum load was kept at 1 mN. XPS studies show that the decreasing tendency in the composition ratio of carbon to nitrogen in a-CNx films with the substrate temperature is observed, but the bonding fraction of nitrogen and sp3-carbon increases with the substrate temperature. The D-peak position obtained from Raman spectroscopy shifts to high wave number with the substrate temperature. The nano-indentation tests reveal that the film hardness increases from 2 to 12 GPa as the substrate temperature increases from RT to 823 K. These results suggest that the film hardness is closely related to the bonding states between carbon and nitrogen. The effect of the substrate temperature on other properties will be discussed.
TF-TuP-25 Investigating the Surface Morphology of Polymer Thin Films Grown by Matrix-Assisted Pulsed Laser Evaporation
J.M Fitz-Gerald, A.T. Sellinger, E.M. Leveugle, L.V. Zhigilei (University of Virginia)
The ability to achieve controlled growth of polymer and polymer nanocomposite thin films in a dry-processing environment is of significant interest to both the microelectronics and biomedical communities. While matrix-assisted pulsed laser evaporation (MAPLE) has been successfully utilized to deposit thin films spanning several classes of polymer, films often possess excessive surface roughness due to the ejection of matrix-polymer clusters from the irradiated target. Research has shown that as an ejected cluster travels through the laser-generated plume, internal polymer molecules are pushed towards the boundaries of the cluster, forming a balloon-like structure that is subsequently deposited onto the substrate surface. The deposition of these clusters results in numerous surface features exhibiting a range of geometries. In order to investigate the structural origin of these features in greater detail, coarse-grained molecular dynamics simulations were conducted to model the behavior of these clusters upon deposition onto the substrate at incident velocities of 100, 500, and 1000 m/s. The results of these simulations suggest that the structural range of surface formations observed experimentally can be partially attributed to the velocities of incident clusters prior to deposition. Previous experimental work has shown that the presence of these features can be reduced in pure polymer films by either decreasing the polymer concentration in the targets, or through substrate heating. Similar experiments were therefore performed for polymer/carbon-nanotube (CNT) composite thin film growth in an effort to both alleviate surface roughness, and enhance the dispersion of CNTs in deposited films. Characterization included the use of high-resolution electron microscopy, high-speed imaging, and infrared spectroscopy.
Time Period TuP Sessions | Topic TF Sessions | Time Periods | Topics | AVS2007 Schedule