AVS2007 Session TF-ThM: Thin Films for Electronic Applications

Thursday, October 18, 2007 8:00 AM in Room 613/614

Thursday Morning

Time Period ThM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2007 Schedule

Start Invited? Item
8:00 AM TF-ThM-1 Superconductivity in Very Clean and Doped MgB2 Thin Films
Q. Li, A.V. Pogrebnyakov, J.M. Redwing, X.X. Xi (Pennsylvania State University)
MgB2, with a Tc of 40 K, is arguably the first superconductor to show clear multiband superconductivity and two energy gaps. It has the highest Tc among BCS superconductors, which makes it very attractive for many applications. The interplay between the interband and intraband scattering has resulted in many unusual properties. In this talk, I will review our results on MgB2 thin films made by hybrid physical-chemical vapor deposition (HPCVD). Very clean epitaxial MgB2 thin films on (0001) SiC and sapphire substrates have been obtained with a slight increase of Tc from the bulk value due to the epitaxial strain. From the normal state magnetoresistance measurement, we have shown a complex dependence on the orientation of the applied magnetic field, with a maximum magnetoresistance (MR~136%) observed at the field H parallel to c plane at low temperature. However, the angular dependence changes dramatically as the temperature increases, and at high temperatures, the magnetoresistance maximum changes to the H perpendicular to c direction. We attribute the results to the multiple bands with different Fermi surface topology and different electron-phonon coupling in MgB2. By introducing carbon carrying gas during HPCVD deposition, C-doping in the films was achieved. The upper critical field was found to increase with C doping. The upper critical field can be increased to above 60 T in H parallel to ab direction and 40 T in H perpendicular to ab direction. C-doped polycrystalline films coated on SiC filbers also show Hc2 above 55 T, which make it possible as a coated conductor for high field applications.


1 Work supported by NSF and conducted in collaboration with B. T. Liu, Y. F. Hu, J. Chen, V. Ferrando, P. Orgiani, Q. R. Feng, H. Gao, L. Shan, H. H. Wen, A. Gurevich, D.C. Larbalestier, J. B. Betts, C. H. Mielke.

8:40 AM TF-ThM-3 Optical Radiation Selective Devices Based on III Nitrides
D. Starikov, J.C. Boney, P. Misra, N. Medelci, R. Pillai (University of Houston)
Wide direct band gap of the III nitride materials allows for several advanced optoelectronic applications in a very wide spectral range from 200 nm to 1.77 µm. Both light emission sources and photodetectors with advanced properties can be achieved through tailoring layered III nitride structures with various layer order, chemical composition, conductivity and thickness. In addition, substrate selection plays an important role in the fabrication of these devices as well as in achieving desired device properties. Along with the efficiency of light emission or detection, in most cases it is important to provide selectivity of the optical radiation generated or sensed by the optical device. Such selectivity can be achieved by using spectral and polarization properties of optical radiation. In this paper we present results on the growth, processing, and modeling of multi-band photodiode structures, broad-spectrum avalanche LEDs, and polarization-sensitive photodetectors based on III nitrides grown by Radio Frequency Molecular beam epitaxy on silicon, sapphire, and lithium-aluminum oxide (LiAlO2) substrates. Dual-band visible- and solar-blind UV/IR photodetectors as well as broad spectrum UV-enhanced avalanche LED structures have been demonstrated. The peak responsivities of the dual band photodetectors are 0.0038 and 0.055 A/W at the wavelengths of 349 nm and 1000 nm, respectively. The broad spectrum emission from the avalanche LEDs extended from 300 to 650 nm with a maximum at ~425 nm. Results on optimization of the dual-band photodiodes as well as on testing of polarization-sensitive GaN and InGaN photodetectors grown on non-polar LiAlO2 substrates, which are currently in progress, will be presented in the final paper. Various advanced applications of the optical radiation sensitive devices based on III nitrides will be discussed.
9:00 AM TF-ThM-4 EXAFS Study of Local Bonding Structures of Ge2Sb2Te4, Ge2Sb2Te5, and Ge2Sb2Te7 with Bond Constraint Analysis
D.A. Baker, G. Lucovsky, M.A. Paesler (North Carolina State University); P.C. Taylor (Colorado School of Mines)
Studies of amorphous (a-) semiconductors have been driven by technological advances as well as fundamental theories. Observation of electrical switching,1 for example, fueled early interest in a-chalcogenides. More recently a-chalcogenide switching has been applied successfully to programmable memory devices2 as well as DVD technology where the quest for the discovery of better-suited materials continues. Thus, switching grants researchers today with an active arena of technological as well as fundamental study. Bond constraint theory (BCT) and rigidity theory provide a powerful framework for understanding the structure and properties of a-materials. Application of these theories to switching in a-chalcogenides holds the promise of finding the best composition suited for switching applications. Extended X-ray Absorption Fine Structure (EXAFS) spectroscopy3 is an ideally suited technique to investigate the switching properties of these materials. Films of amorphous Ge2Sb2Te4, Ge2Sb2Te5, and Ge2Sb2Te7 exhibit differing bonding structures and bond statistics, which result in different electronic and optical properties. Results of new EXAFS experiments on these three critical compositions in the Ge-Sb-Te system are presented in light of BCT and rigidity theory.


1 S.R. Ovshinsky, Phys. Rev. Lett., 20, 1450 (1968).
2 C. Peng and M. Mansuripur, Appl. Optics, 43, 4367 (2004).
3 D.E. Sayers, F.W. Lytle, and E.A. Stern, Phys. Rev. B., 11, 4836 (1975).

9:20 AM TF-ThM-5 CVD of Ru from C6H8Ru(CO)3
T.S. Lazarz, Y. Yang, N. Kumar, W. Noh, G.S. Girolami, J.R. Abelson (University of Illinois at Urbana-Champaign)
Ruthenium is being investigated for multiple uses in microelectronics, including DRAM capacitors,1 metal gates in p-MOSFETs,2 and in the copper dual damascene process.3 Previous studies have generally prepared Ru films by evaporation or by CVD from ruthenocene or its ring-substituted analogues. Other ruthenium compounds such as β-diketonates, arenes, dienes and carbonyls have also been investigated as CVD precursors. In all cases, however, the growth rates been unsatisfactory (< 2 nm/min), the films have been contaminated by heteroatoms due to ligand incorporation, or there have been nucleation problems on covalent substrates.4 We have used the single-source liquid precursor tricarbonyl(1,3-cyclohexadiene)ruthenium(0), C6H8Ru(CO)3, to deposit metallic ruthenium films by CVD at substrate temperatures ranging between 150 and 600 °C on several types of substrates, including covalent. Ligand removal is expected to be relatively facile from this Ru(0) compound due to the ruthenium atom being in oxidation state zero on the precursor molecule. The Ru growth rates are rapid, with a maximum of 19 nm/min. The oxygen content is below AES detection limits (< 1 at. %), and the carbon content is estimated to be low. In-situ spectroscopic ellipsometry indicates negligibly short delays before film nucleation on Si, SiO2, or sapphire substrates. The resistivities are comparable to the bulk resistivity, ranging between 11 and 21 μΩ-cm. The texture of the films depends on deposition temperature. At lower temperatures, films are strongly textured mostly in the (0002) direction, whereas at higher temperatures the films are less textured. Since C6H8Ru(CO)3 gives high growth rates, low resistivities, and nucleates readily on all surfaces tested, C6H8Ru(CO)3 is an excellent precursor for depositing thin ruthenium films.


1 T. Aoyama and K. Eguchi, Japanese Journal of Applied Physics, Part 2 (Letters) 38 (10A), 1134 (1999).
2 V. Misra, G. Lucovsky, and G. Parsons, MRS Bulletin 27 (3), 212 (2002).
3 T.N. Arunagiri, Y Zhang, and O Chyan, Applied Physics Letters 86 (2005); Hoon Kim, Toshihiko Koseki, Takayuki Ohba et al., Journal of The Electrochemical Society 152 (8), G594 (2005).
4Sang Yeol Kang, Cheol Seong Hwang, and Hyeong Joon Kim, Journal of The Electrochemical Society 152 (1), C15 (2005).

9:40 AM TF-ThM-6 The Properties of Ultra Thin Ru-P Amorphous Films Deposited with Ru3(CO)12 and P(CH3)3 for Cu Metallization
J. Shin, H. Kim, L.B. Henderson, G.S. Hwang, J.G. Ekerdt (University of Texas at Austin)
For advanced VLSI devices, Cu metallization has replaced Al due to its low resistivity and high intrinsic electromigration resistance. It is well known that Cu requires liner materials that function as a diffusion barrier, a seed layer for electroplating, and an adhesion promoting layer. Ru has been considered as a promising liner material for Cu metallization, however it has been reported that Ru itself is not an effective Cu diffusion barrier due to its microstructure, which is polycrystalline and features a columnar structure. Therefore, controlling the microstructure of Ru films is crucial in obtaining the required liner properties. Here we report ultra thin Ru alloy films having amorphized microstructure by incorporating P into the films. The metal (Ru) - metalloid (P) amorphous films were grown at 300°C by co-dosing triruthenium dodecarbonyl (Ru3(CO)12) and trimethylphosphine (P(CH3)3) into a cold wall chemical vapor deposition system having base pressure of 5x10-8 Torr. X-ray photoelectron spectroscopy (XPS) was employed to analyze the film composition and chemical states of the elements in the films, and grazing angle X-ray diffraction (XRD) and transmission electron microscopy (TEM) were used for microstructure analysis. Amorphous films were formed when more than ~ 15 at% of P was incorporated, and the amorphous microstructure remained stable after 3 hrs of annealing at 400°C. Electrical resistivity was measured with a four point probe, and a 15 nm thick amorphous Ru-P film showed a resistivity of ~ 200 Ωcm. Strong adhesion between Ru-P and Cu films was observed in annealing experiments, which revealed adhesion strength in the order of Ru-P alloy > Ta > TaN. More conformal films were grown on trench features by introducing P(CH3)3 as a result of the improved film step coverage due to the inhibited reaction and lowered sticking coefficient of Ru3(CO)12 by the adsorption of the P(CH3)3 molecules on the Ru surface. The barrier capability of the Ru-P films against Cu diffusion evaluated by bias temperature stress (BTS) technique will be discussed. First principles density functional theory calculations and ab-initio molecular dynamic simulation results will also be presented to elucidate the interaction between Ru and P, short range order of amorphous structure, and the causes for P stabilizing the Ru based metal - metalloid amorphous films.
10:00 AM TF-ThM-7 Surface Morphology of Epitaxial Cu Layers: The Effect of Roughness on Electron Scattering
J.M. Purswani, D. Gall (Rensselaer Polytechnic Institute)
Single crystal Cu layers, 12 to 600 nm thick, were grown on MgO(001) by ultra-high vacuum magnetron sputtering. X-ray diffraction θ-2θ, ω-rocking curve, and pole figure scans show that layers grown at temperatures Ts ≤ 100 °C exhibit a cube-on-cube epitaxy, while Ts ≥ 200 °C results in polycrystalline copper layers. The surfaces of the single crystal layers exhibit regular mound structures, as observed by in-situ scanning tunneling microscopy. The mounds grow in width with increasing layer thickness t, from 21 nm for t = 24 nm to 33 nm with t = 119 nm. In-situ vacuum annealing at 200 and 300 °C leads to a successive smoothening of the surfaces for all thickness values. For example, for t = 24 nm, the RMS roughness is 1.3 nm for the as-deposited layer and decreases to 0.7 nm after the post-deposition anneal. The measured resistivity increases for decreasing layer thickness, from 1.70 μΩ-cm for t = 604 nm to 2.91μΩ-cm for t = 24 nm. This increase is consistent with the Fuchs-Sondheimer model, indicating completely diffuse surface scattering. The diffuse surface scattering is attributed to atomic level roughness, as quantified by the average width of atomically smooth surface terraces, which increases from 0.5 nm for the as-deposited layer to 1.5 nm for the film annealed at 300 °C. Therefore, even the smoothest layers exhibit terraces that are only 8 atoms wide, which is insufficient to result in specular electron surface scattering.
10:20 AM TF-ThM-8 Investigation of Ru-Ta-N Ultrathin Films as Diffusion Barrier for Cu Metallization
C.-W. Chen, J.-S. Chen (National Cheng Kung University, Taiwan)
In this study, the diffusion barrier properties of ruthenium-based thin films which contain different atomic percentage of tantalum and nitrogen are investigated in Cu/barrier/SiO2/Si system. The Ru-Ta-N films were deposited by co-sputtering from Ru and Ta targets in Ar+N2 atmosphere. Pure Ru and Ru-N films sputtered in Ar and Ar+N2 ambient, respectively, were studied as the comparison. The thickness of Ru, Ru-N and Ru-Ta-N samples is all set at 10 nm. The thermal stability of the Cu/barrier/SiO2/Si multilayers is assessed by annealing the samples in vacuum at 400~700 °C for 30 min. No significant change of sheet resistance is detected for the Cu/Ru-Ta-N/SiO2/Si system after annealing up to 700°C. However, the sheet resistance of the sample with pure Ru barrier increases abruptly after annealing at 400°C, and the sheet resistance of the sample with Ru-N barrier increases abruptly after annealing at 500°C. The performance of Ru-Ta-N barriers are also confirmed by Auger electron spectroscopy and Rutherford backscattering spectrometry in which Cu shows no obvious diffusion into underlayers after annealing at 500°C. As compared to pure Ru and Ru-N, the Ru-Ta-N films exhibit better electrical properties and capability for preventing Cu diffusion regardless of the different Ta contents. Correlation between the film resistivity and the microstructral characteristics of sputtered Ru, Ru-N and Ru-Ta-N films in the Cu/barrier/SiO2/Si multilayer systems upon annealing are discussed.
10:40 AM TF-ThM-9 A Study on the Amorphous Ta-Zr Films as Diffusion Barrier in Cu Metallization
C. Li (Nanyang Technological University, Singapore); J. Hsieh (Ming-Chi University of Technology, Taiwan)
Binary alloys with amorphous structure have been used as diffusion barrier in many electronic components for their better resistance to the movement of thermally and electrically conductive atoms. Some other advantages for using such amorphous films including their high crystallization temperature and good electrical conductivity are also important for the function of electronic components. For instance, films such as Cu100-xTax, Zr40Cu60, Ta50Co50, Ta100-xNix (x=35,50) and Co55W45, all exhibit low resistivity (<200 µ?-cm) and high crystallization temperature (Tx ~800oC, except Ta-Co and Cu-Zr) in literature. However, when they are employed as diffusion barriers for Al, Cu or Au metallization, some of the films (Cu100-xTax, Ta100-xNix (x=35,50) and Co55W45) demonstrate a failure at temperatures much lower than their crystallization point. This may due to the low reaction temperature of these metal with Si substrate which is only around 200-300oC. Based upon these earlier studies, one improvement can be made on the existing amorphous films is to replace the noble or near noble metals (Cu, Ni etc.) with some refractory metals such as Ta, W, Ti or Zr because their reaction temperatures with Si are usually higher than 500oC. Examples of such films as Ta-W and Ti-W can be found in the literature. In this study, a modeling and experimental works on the amorphous binary alloys will be presented for its barrier performance on the metal diffusions. For experiments, a layer of Cu/Ta50Zr50/SiO2/Si stack is made by the deposition of Ta and Zr on thermally oxidized Si substrate by co-sputtering in the Ar plasma. Experimental results indicate that the amorphous barrier can indeed suppress the penetration of Cu atoms into Si substrate upon annealing at temperature higher than 500oC. Further investigations on the thermal stability reveal that the top Cu layer may enhance the formation of metal silicides such as TaSi2 and ZrSi2 inside the barrier. These silicides can increase the activation energy of Cu diffusion and therefore enhance the barrier’s performance. In addition, a failure mechanism of the diffusion barrier is proposed based on both thermal stability and residual tensile stresses. A qualitative model for this proposal shall be analyzed by numerical simulations also.
Time Period ThM Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2007 Schedule