AVS2007 Session PS1-TuA: Plasma Etching for Advanced Interconnects II

Tuesday, October 16, 2007 1:40 PM in Room 606

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule

Start Invited? Item
1:40 PM PS1-TuA-1 Process Performance of CO2 In Situ Photoresist Ashing Processes and Their Influence on ULK Materials Modifications
M.S. Kuo, G.S. Oehrlein (University of Maryland at College Park); S. Sirard, E.A. Hudson (Lam Research Corp.)
An in situ ashing process for removing photoresist (PR) layers is desired by industry as an alternative to remote plasma ashing processes since it could be more easily integrated with plasma etching processes. In this work, we examined the feasibility of CO2 as source gas for in situ PR ashing processes compatible with ULK materials, and performed characterization of 193 nm PR ashing along with ULK (JSR LKD 5109, and several other candidate materials) damage evaluation. Reducing CO2 pressure from 100 to 10 mTorr increased the ion density and led to a higher PR ashing rate (substrate at 10 °C, line of sight interaction), while suppressing ULK damage (non-line-of-sight interaction). Low pressure operation using CO2 enabled a high ashing efficiency (AE) which is defined as the amount of PR removed over the amount of ULK material damaged (for a given time). The CO2 AE at 10 mTorr is ~5 times improved relative to O2 and comparable to the best values we have measured for typical H2 based remote plasma ashing processes. For the CO2 in situ ashing processes, we examined sidewall damage introduced in ULK trench structures employing scanning electron microscopy (SEM). We also used a gap structure to simulate exposure of ULK materials in actual trench sidewalls. This allowed characterization of ULK surface modifications induced by the indirect plasma exposure using ellipsometry, X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectroscopy (SIMS). These results will be presented, along with a discussion of issues derived from real pattern transfer processes such as etching/ashing interaction and the influence of slight ion bombardment to ULK sidewall during in situ ashing.
2:00 PM PS1-TuA-2 SiOCH Damage in N2/H2 Plasma
M. Fukasawa, T. Tatsumi, K. Nagahata (Sony Corporation, Japan); S. Uchida, S. Takashima, M. Hori (Nagoya University, Japan); Y. Kamide (Sony Corporation, Japan)
Reducing the damage to low-k dielectrics caused by plasma is one of the key issues for achieving high-performance devices. We report the root cause of the dielectric constant increase (Δk) of SiOCH that occurs after N2/H2 plasma exposure. The plasma damage of SiOCH (k=2.65) was investigated in a dual-frequency (60/2 MHz) capacitively coupled plasma reactor equipped with a surface wave probe, quadruple mass spectrometer, high-voltage probe and optical emission spectroscope. Measurements were performed as a function of an N2/H2 flow rate ratio, while maintaining total ion and neutral fluxes, and ion energies. The amount of moisture uptake during air exposure, Δk, water contact angle, and chemical bonding were analyzed. The behavior of Δk strongly depends on the N2/H2 flow rate ratio. Thermal disorption spectroscopy revealed that the Δk is almost proportional to the amount of moisture uptake.1 The amount of Si-CH3 bond in the bulk SiOCH measured by FT-IR decreased monotonically with an increasing H2 flow rate ratio. These results suggest that the Si-CH3 bonds were broken by H, and the dangling bond (or one weakly terminated by H) was generated during plasma exposure. During air exposure, the Si-H bonds are replaced with Si-OH bonds, which then adsorb moisture. However, in the case of H-rich plasma, the Δk decreased, while the total number of adsorption sites increased. We analyzed the depth profile of incident ions since the SiOCH damage gradually varies from the surface depending on the depth distribution of ion, light, and radical diffusion from the surface. In relatively H-rich plasma, the small mass number of dominant ion species (H3+) results in the deeper damage caused by the longer projected range (10 nm). Thus, more Si-CH3 bonds remained on the surface and generated more hydrophobic surface, compared with that generated by relatively N-rich plasma. The hydrophobic surface was found to suppress the moisture permeability and lead to the Δk reduction. To suppress Δk, it is important to precisely control the incident radical/ion flux ratios and ion energies thus reducing the adsorption sites in the bulk and keeping the hydrophobic surface that suppresses the water permeation during air exposure.


1M. Fukasawa et al., in Proceedings of International Conference on Dry Process, Nagoya, Japan (2006) p.5.

2:20 PM PS1-TuA-3 Study of Downstream O2 Plasma Damage to Blanket and Patterned CDO Low k Films
J. Bao, H. Shi, H. Huang, J. Liu, P.S. Ho, E. Paek, G.S. Hwang (The University of Texas at Austin)
Carbon Doped Oxide (CDO) low k films were treated by downstream O2 plasma. The effects of O2 plasma on blanket and patterned low k dielectric surfaces were studied by in-situ angle resolved X-ray photoelectron spectroscopy (ARXPS) and Fourier transform infrared spectroscopy (FTIR). The reaction byproducts were analyzed by residual gas analyzer. Roles of ions and radicals in the plasma to cause carbon depletion were investigated using a plasma source that was capable of separating ions from plasma beam. Energetic ions in oxygen plasma contributed much to the loss of film hydrophobicity and dielectric constant through the formation of C=O and Si-OH. Coupled with RGA analysis, three possible reaction paths leading to carbon depletion were proposed. Effects of ions and radicals on blanket low k films at different tilt angles (00 to 900) were analyzed. Finally, O2 plasma damage to patterned CDO film was studied by XPS and SEM. And the damage behavior was simulated with Monte Carlo method. It was found that the charging potential distribution induced by plasma was important in determining low k film carbon loss. The charging potential distribution was mainly related to the geometry of low k trench structures.
2:40 PM PS1-TuA-4 Ion- and Radical-Induced Ultra Low-k Damage Mechanisms
M.A. Goldman, S.H. Kim, D.B. Graves (University of California, Berkeley)
It is widely understood that photoresist strip following dielectric etch often damages ultra low-k films. We measured the effects of molecular beams of ions and radicals impacting porous, ultra low-k dielectric films in a vacuum beam chamber to simulate damage induced by photoresist stripping under controlled conditions. Damage was characterized by ex-situ FTIR, contact angle measurements, SEM coupled with damaged layer etching, and AFM. We find that rare gas ions (Ar+ and Xe+) alone appear to damage only the near-surface region of the film. In addition, we report results on the mechanism of damage due to radical beams, including O, N, NHx, NO, and H. For oxygen radical damage on porous ultra low-k films, carbon abstraction and subsequent moisture uptake is shown to be a diffusion-limited process that occurs as a front of carbon depletion that penetrates through the film. Rare gas ion bombardment at normal incidence is shown to significantly reduce the damaging effects of O atoms, apparently by closing pores at the surface of the film.
3:00 PM PS1-TuA-5 Evaluation of Plasma Damages due to VUV Light, UV Light, Radicals, Ions and Interaction of Light and Radicals on Low-k Films
S. Uchida, S. Takashima, M. Hori (Nagoya University, Japan); M. Fukasawa, K. Oshima, K. Nagahata, T. Tatsumi (Sony Corporation, Japan)
The low-k films are wildy used as the insulating materials of ULSIs in order to reduce the RC delay. The low-k films receive the damages from the plasmas in the etching and ashing processes. The plasma damages induce the increase of the dielectric constant of the films. In order to realize the damage free plasma processes, it is necessary to clarify the influences of the light, the radicals, and the ions from the plasmas on the low-k films. In our previous study, in order to separate the effects of the light, the radicals, and the ions in the process plasmas, we have developed a new technique, where the four kinds of etching samples were prepared. We call the technique a pallet for plasma evaluation (Pape). These samples were as follows. The MgF2 and the quartz windows were put directly on the film, respectively, to clarify the influence of the vacuum ultraviolet (VUV) light and the ultraviolet (UV) light from the plasmas. The MgF2 and the quartz windows transmit the light of wavelength 115nm or more and 170nm or more, respectively. Si plate was put 0.7 mm above the film surface to investigate the influence of radicals. In order to clarify all the influences of the light, the radicals, and the ions, nothing was put on the film. In this study, we have improved the Pape in order to evaluate the effect of the interaction of the light and the radicals. The advanced Pape is the methods of putting the MgF2 and the quartz windows 0.7 mm above the film surface. The low-k film used in this study was the porous SiOCH film. The dual frequency capacitively coupled plasma employing H2 and N2 gases was used in this study. The refractive index and the film thickness were measured by an ellipsometer. At a VHF power of 500W, a bias power of 500W, a gas flow rate ratio of 50%, and a pressure of 5.3 Pa, the ions induced the largest damage on the films. Moreover, the damage due to the interaction of the lights and radicals was larger than that due to the individual lights and radicals.
4:00 PM PS1-TuA-8 Low-Damage Low-k Etching by CF3I Plasma with Low Global Warming Potential
E. Soda, S. Kondo (Selete, Japan); Y. Ichihashi, A. Sato, H. Ohtake, S. Samukawa (Tohoku University, Japan); S. Saito (Selete, Japan)
The CF3I gas has been developed to reduce the recent global warming1 because it has a low global warming potential (GWP) of 1.0 while most of conventional etching gases have considerably higher potentials of more than 1000 (CF4: 6500, C4F8: 8700). The feasibility of CF3I plasma etching and its damage to the porous low-k film (SiOC, k=2.6) were studied in this work. When an SiOC film was exposed to the CF3I plasma, increase in the k-value and decrease in CH3 group of the film (FTIR) were found to be suppressed compared with those caused by conventional CF4 plasma because intensity of the ultra violet (UV) light in CF3I plasma was lower than that of CF4 plasma. To investigate etching property of CF3I gas, we used a photolithography patterned wafer with the resist mask of 200-nm pitch size. As a result, the etching profile of CF3I plasma was comparable with that of CF4 plasma. Since the etching selectivity (SiOC/ArF) of CF3I plasma was higher than that of CF4 plasma, the remaining resist thickness after etching increased, thereby line edge roughness (LER) was suppressed. Moreover, the pulse operation in CF3I plasma drastically increased etching rate and minimized UV damage to the low-k film because injection of negative ions in pulsed plasma enhanced the low-k film etching. Accordingly, we found that CF3I plasma is one of the promising candidates for low-damage and highly selective low-k etching. This work is supported by the New Energy and Industrial Technology Development Organization (NEDO).


1N. Nagasaki et al., "The Development of a Novel Catalytic Technology for CF3I Manufacture", Halon Options Technical Working Conference, May 2000.

4:20 PM PS1-TuA-9 Ash Plasma Exposure of Hybrid Material (SiOCH and Porogen): Comparison with Porous SiOCH
M. Darnon (CNRS, France); T. Chevolleau (LTM-CNRS, France); T. David (CEA-LETI-MINATEC, France); L. Vallier (LTM-CNRS, France); J. Torres (STM, France); O. Joubert (LTM-CNRS, France)
In CMOS technology, the dominant strategy to achieve future generation of ultra low-k interlayer dielectric materials with a dielectric constant close to 2.2 is to introduce porosity into a SiOCH matrix. However, porous materials are very sensitive to etch and ash plasma exposure and one of the major integration challenges is to reduce the impact of plasma processes on the ultra low-k degradation. To solve this issue, one of the emerging solutions is the late porogen removal process. In this approach, the porosity is generated by a sacrificial carbon based porogen which is desorbed after patterning or copper filling. Such hybrid materials (SiOCH matrix and porogen) are expected to behave like dense materials and therefore to be less sensitive to plasma processes (etching and ashing). In this work, the hybrid material and the porous material are compared in terms of sensitivity to ash plasmas. The ash plasmas are performed in an industrial MERIE (Magnetically Enhanced Reactive Ion Etcher) and in an ICP (Inductively Coupled Plasma) reactor using oxygen-, ammoniac- or methane-based plasmas. X-ray photoelectron spectroscopy studies shows that the surface composition of the porous SiOCH is modified after the ash plasma treatments (SiO, SiON, and SiOC after O2, NH3 and CH4 treatments, respectively) and X-ray reflectometry measurements indicate an increase of the surface density. Hybrid materials and porous SiOCH after ash plasma treatments present similar surface composition but no surface densification is evidenced. After the pore release process of the treated hybrid material, the surface composition remains close to the treated porous SiOCH and the top surface is denser than as deposited porous SiOCH. Infrared spectroscopy (FTIR) shows an important bulk modification of the porous SiOCH after ash plasma exposure (carbon depletion and new absorption bonds depending on ashing plasmas), whereas the hybrid material volume is hardly modified. After the pore release process of the treated hybrid materials, FTIR spectra are identical to an untreated porous SiOCH indicating an almost unmodified porous SiOCH. The porogen of the hybrid material prevents the bulk modification potentially induced by the different ash plasmas. Consequently, after the pore release process the material is similar to an unmodified porous SiOCH with only a slight modification of the top surface.
4:40 PM PS1-TuA-10 Effects of Combining H Radical Treatment and Low-k Restoration for Extreme Ultra Low-k
L.H. Chen, S. Tahara (Tokyo Electron AT LTD, Japan); R. Asako (Tokyo Electron LTD, Japan); K. Yamazaki (Tokyo Electron AT LTD, Japan); Y. Ohsawa (Tokyo Electron LTD, Japan); Y. Chiba (Tokyo Electron AT LTD, Japan); H. Nagai (Tokyo Electron LTD, Japan); K. Kubota (Tokyo Electron AT LTD, Japan); K. Maekawa (Tokyo Electron LTD, Japan)
Extreme Ultra low-k (eULK) dielectrics with k-value of below 2.2 have been extensively evaluated for 32 nm technology and beyond. The eULK dielectrics exert new challenges in etch/ash plasma process and post treatment process. In photo resist stripping, hydrogen radical is known as a reactant to minimize low-k damage compared to oxygen. Hydrogen radical, however, does not restore etch-induced low-k damage. Thus, a low-k restoration following to hydrogen radical stripping should be processed subsequently. At this point, we picked up the silylation process with vaporized silazanes as a low-k restoration technique so that all processed from etch to low-k restoration could be integrated in a dry system for high restoration effectiveness and productivity. In this study, we investigated the effects of combining H radical treatment and low-k restoration by using spin on dielectric blanket film (k=2.2). It was confirmed that a hydrogen process/treatment did not only minimize low-k damage in photo resist stripping but also reduce oxygen in copper, and decrease fluorine in low-k film by Thermal Desorption Spectroscopy (TDS) analysis. Moreover, the combination of a hydrogen treatment and low-k restoration in a dry system without air exposure showed that k value, leakage current, H2O degas amount by TDS, and carbon profile by Secondary Ion Mass Spectroscopy (SIMS) were improved and recovered to near pristine low-k material.
5:00 PM PS1-TuA-11 Process Induced Damages and Their Recovery for Highly-Porous Self-Assembled Porous Silica Low-k Film
K. Kinoshita, S. Chikaki, M. Nihei (Selete Inc., Japan); H. Tanaka, K. Kohmura (Mitsui Chemicals, Inc., Japan); T. Nakayama (ULVAC, Inc., Japan); T. Kikkawa (ASRC, AIST, Japan)
To realize the low-k film of k<2.1 with silica material, it is necessary to achieve the porosity higher than 50%. We have been developing porous silica materials. The characteristics of the porous silica is; (a) scalability of the k-value by controlling pore structure with self-assembled process, and (b) post reinforcing and hydrophobizing treatment.1 The new process technologies to apply such highly porous low-k films to the interconnect module process have been developed, simultaneously. Especially, introduction of the damage recovery process is inevitable.2 This paper describes about damage recovery process for the porous silica materials. The porous silica blanket films with k~2.07 and E~6.5 GPa were formed on 300 mm wafers. They were half-etched by fluorocarbon plasma, and were exposed to high-temperature He/H2 down flow ashing plasma. Then, wet clean by two different types of chemical were examined, followed by the damage recovery process by TMCTS vapor annealing. The samples were evaluated by k-value measurement, FT-IR, and TDS after each step. The porous silica films after the etching and the ashing were first treated by the organic acid type wet chemical solution. The k-value of the films increased from 2.07 (pristine) to 2.66 (etching), 2.67 (ashing), and 2.96 (wet clean). The TDS spectrum showed the desorption of the species originated in the wet chemical solution. In contrast to that, the k-value increased to 2.78 after the treatment by the dilute aqueous solution type chemical. The FT-IR and TDS spectra showed almost no change compared with those after the ashing. The recovery process at the pressure of 4 kPa or 30 kPa were evaluated. The recovery of the k-value was remarkable under the high pressure 30 kPa conditions (k=2.17). The collision probability enhancement between silanol groups on the pore surface and TMCTS molecules diffusing into the pore is important to improve recovery effect. When the incident ion-energy during etching was reduced to change the initial damage level with keeping final film thickness, the k-value recovered clearly at the lower ion-energy condition. The recovery effects by the other molecules, and electrical properties of Cu interconnect structure will be presented. Part of this work was assisted by NEDO.


1K. Kohmura, et al., Thin Solid Films, 515, 5019 (2007)
2T. Ono, et al., Jpn. J. Appl. Phys., 45, 6231 (2006).

Time Period TuA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule