AVS2007 Session PS1+TF-TuM: Plasma Enhanced Atomic Layer Deposition and Plasma Deposition

Tuesday, October 16, 2007 8:00 AM in Room 606

Tuesday Morning

Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule

Start Invited? Item
8:00 AM PS1+TF-TuM-1 Characteristics for HfO2 Gate Dielectrics Deposited by Remote Plasma ALD Method
S. Kim, H. Jeon (Hanyang University, South Korea)
Many high-k dielectric materials have been studied extensively to replace current gate dielectric materials such as SiO2 and SiOxNy. Among the high-k dielectric materials, Hf-oxide is considered to be one of best choices for 45 nm technology and beyond. However, most of high-k oxides such as HfO2, ZrO2, Ta2O3, and TiO2 are transition metal oxides with the ionic nature and have poor interface quality and poor thermal stability with Si substrate. In addition, they exhibits high oxide traps and interface state densities, and large amount of oxygen vacancies, and are easily crystallized compared to SiO2. To overcome these drawbacks of high-k oxides, the technologies for growing high quality high-k oxides and improving the interface properties between high-k oxide and Si substrate are required. In this study, we chose HfO2 as high-k gate dielectrics and atomic layer deposition (ALD) as a deposition method. Among many deposition methods, ALD method is studied by many researchers because of its thin film deposition superiority. In our lab we applied both direct plasma ALD (DPALD) and remote plasma ALD (RPALD) methods to grow HfO2 thin films on Si substrates. These two different plasma methods exhibited the different thicknesses of silicate interlayer. We believe this interlayer is critical for the degradation of high-k dielectric materials. To investigate these interlayers we grew several different buffer layers before HfO2 growth. These buffer layers were formed by remote plasma oxidation (RPO) and nitridation (RPN) on Si substrates to monitor this interlayer, to suppress the initial formation of Hf silicate or interlayer and to improve the interlayer quality. The buffer layers were thin SiO2, SiOxNy, Al2O3, nitrided Al silicate and nitrided Hf silicate layers. The HfO2 films with buffer layers suppressed silicate formation or growth of an interlayer more effectively than those without buffer layers. The HfO2 films with buffer layers also showed lower effective oxide thickness (EOT), lower effective fixed oxide charge density (Qf,eff.), and lower leakage current density compared to those without buffer layers. The physical and electrical properties of HfO2 with buffer layers will be presented and discussed depending on the various buffer layers.
8:40 AM PS1+TF-TuM-3 Pulsed Plasma-Enhanced Pulsed CVD of Y2O3 in MIM Capacitors
C, Vallee, M. Kahn, E. Gourvest, M. Bonvalot, O. Joubert (CNRS, France)
The development of integrated metal-insulator-metal (MIM) capacitors for advanced analog and rf circuits aims at achieving development of capacitors with higher capacitance density, low leakage current, and good voltage linearity. High k materials are thus integrated and evaluated as MIM dielectrics. Moreover, system-on-chip (SOC) applications also require a deposition process with a low thermal budget which can be carried out with a plasma deposition process. In the past, we have shown interesting results on MIM capacitors based on Y2O3 materials deposited by a low temperature process, namely pulsed liquid injection MOCVD assisted or not by a capacitive RF plasma. With the plasma, depositions have been achieved at temperatures as low as 300°C, and for this reason, good results have been obtained with TiN as bottom electrode. Without plasma, the deposition takes place at 450°C and a non desirable TiOx interface is formed, which degrades the MIM electrical properties. In this study, we compare Y2O3 MIM capacitors deposited on WSi2.3 substrates when assisting the pulsed CVD process by a pulsed RF plasma instead of a continuous plasma. With WSi2.3 substrates, the interfacial layer is SiO2-like, which can be beneficial to the MIM electrical properties in terms of linearity behavior. The plasma is pulsed either in phase or out of phase with the pulsed liquid injection of precursors. Moreover, depending on the plasma pulse width and frequency, the plasma can play a role in precursor dissociation and CVD post-deposition in-situ annealing treatment. The electrical behavior C(V) and I(V) of the obtained structures will be presented and discussed in terms of capacitance density, capacitance linearity and leakage currents. They will be correlated to chemical analysis results (XPS and FUV-SE), with special attention devoted to carbon content as well as metal/oxide interface investigations. C(V) curves suggest the presence of positive charges in the oxide, some of which are mobile, when the plasma is continuously applied. In this study, we will show the impact of exposure time of the dielectric to the plasma on the amount of trapped positive charges in the oxide affecting the MIM linearity properties. Moreover, the nature and thickness of the interfacial dielectric layer originate from both oxygen and solvent which can be limited by pulsing the plasma.
9:00 AM PS1+TF-TuM-4 Engineering Plasma-Enhanced Chemical Vapor Deposition to Deliver Self-Limiting Deposition of Metal Oxide Thin Films
M.T. Seman, S. Agarwal (Colorado School of Mines); J.J. Robbins (CMD Research, LLC); C.A. Wolden (Colorado School of Mines)
In this presentation we describe how conventional plasma-enhanced chemical vapor deposition (PECVD) may be engineered to deliver self-limiting deposition of metal oxides using pulsed power modulation. Self-limiting growth is assured when no deposition occurs during continuous operation with either the plasma on or the plasma off. The requirements that must be met to achieve this behavior are described. The pulsed PECVD technique has the potential to combine the digital control over thickness and composition provided by atomic layer deposition with the high throughput and low temperature capability offered by PECVD. To date the process has been demonstrated for both tantalum1 and aluminum oxides,2 and in this paper we focus on the process-property relationships in the former system. Tantalum oxide films were deposited by pulsed PECVD using continuous delivery of oxygen and penta-ethoxy tantalum (PET, Ta(OC2H5)5) in a capacitively-coupled reactor. Deposited films were characterized by spectroscopic ellipsometry, X-ray photoelectron spectroscopy, Fourier transform infrared spectroscopy, and dielectric performance. The deposition rate per pulse may be readily adjusted over a broad range (1- 10 Å/pulse) using variables such as the PET concentration and the plasma off time. With these variables fixed digital control over film thickness is demonstrated. The process is insensitive to substrate temperature, with a constant deposition rate observed from 90 to 350 °C. Films contain impurities due to carbon and hydroxyl groups, however these signals attenuate as the rate is reduced and are not detectable by FTIR for rates < 3 Å/pulse. Films deposited under these conditions at 190 ºC displayed a high dielectric constant (κ ~ 25) while maintaining leakage current densities below 1 μA/cm2 out to a field strength of 1 MV/cm.


1 M. Seman, J. J. Robbins, S. Agarwal, and C. A. Wolden, Appl. Phys. Lett. 90, 131504 (2007).
2 S. Szymanski, M. T. Seman, and C. A. Wolden, Surf. Coat. Technol. in press (2007).

9:20 AM PS1+TF-TuM-5 Peter Mark Memorial Award Lecture - Plasma-assisted Atomic Layer Deposition: Applications, Opportunities, and Mechanisms
W.M.M. Kessels (Eindhoven University of Technology, The Netherlands)
Atomic layer deposition (ALD) is the method of choice for the deposition of ultrathin films with a high conformality and with submonolayer growth control. Recently, the extension of the technique with plasma processes is actively being researched. These so-called plasma-assisted ALD processes can provide several potential advantages over thermal ALD for selected applications such as an enhanced growth rate, improved material properties, and lower deposition temperature (down to room temperature). In this contribution, different plasma-assisted ALD configurations such as direct plasma, remote plasma and radical enhanced ALD will be discussed and an overview will be presented of oxide and nitride materials (Al2O3, HfO2, TiO2, TiN, TaN, etc.) deposited. In particular, remote plasma ALD processes based on halide and metalorganic precursors and plasmas of H2, N2, O2, NH3 and combinations thereof will be described and the resulting material properties will be presented for wide substrate temperature ranges. The versatility of the plasma-assisted ALD process will be illustrated by several applications ranging from the semiconductor industry (capacitor stacks) to emerging applications in the field of 3D-integration in microelectronics (Cu diffusion barriers), photovoltaics (Si surface passivation), energy storage (Li diffusion barriers) and flexible electronics (ultrahigh moisture barrier coatings). Generic insight into the plasma-assisted ALD surface reactions will be presented from mechanistic studies carried out by a variety of in situ techniques: spectroscopic ellipsometry for monitoring film thickness and film properties (including electrical resistivity and crystal phases), transmission infrared spectroscopy to probe reactive surface groups, quartz crystal microbalance measurements to measure surface mass uptake, and mass spectrometry and optical emission spectroscopy to detect reaction products. On the basis of the results, the paradigms for plasma-assisted ALD are reviewed and differences with thermal ALD processes are discussed.
10:40 AM PS1+TF-TuM-9 Silicon Based Coatings by Means of Glow and Townsend Dielectric Barrier Discharges
F. Massines (CNRS PROMES, France); N. Gherardi (LAPLACE CNRS-UPS-INP, France)
The development of a PECVD process working at atmospheric pressure and allowing an easy on-line treatment of silicon wafer, glass plate or polymer film is a challenge of great interest. The successful solution will avoid batch treatments and significantly reduce the cost of the coating. The main questions to be solved are how to get a well controlled thin film in terms of chemical composition, structure and thickness? and how to get a high growth rate? Previous to the process development, the main difficulties concern the plasma homogeneity, the gas injection over large dimensions, the control of the atmosphere in the plasma area while the substrate is moving and the efficiency of the transfer of the reactive species to the surface taking into account the very low diffusion of the neutral radicals at atmospheric pressure. In case of two dimensional materials like, dielectric barrier discharge (DBD) appears like the more suitable discharge: it is cold, robust and not disturbed by the movement of the substrate. As example DBD generate the plasma of corona treaters useful for surface activation. However, making a thin film coating having well controlled and uniform properties is more delicate. Homogeneous DBD (HDBD) is a solution to reach that goal. Conditions to get such a HDBD are now widely known and easy to up-scale in one direction allowing to get a uniform plasma band of several meters in the direction perpendicular to that of the substrate movement. This solution is in competition with remote plasmas but knowing that the quenching of excited state drastically increases with the pressure, and as far as the substrate can be moved inside the discharge without inducing perturbation, remote plasma has to be avoid. At atmospheric pressure, the reactive gases leading to the coating formation are diluted in a main gas, which is usually helium, argon or nitrogen. The main gas determines the discharge regime and then the energetic species created during the plasma development. In nitrogen, the HDBD is a Townsend discharge while in noble gases the HDBD is a glow one. In this presentation results concerning SiOx coatings obtained from SiH4 or hexamethyldisiloxane mixed with N2O and diluted in N2 will be compared. The coating thickness, the refractive index and the chemical composition as a function of the gas residence time will be discussed and correlated to the main growth mechanism.
11:20 AM PS1+TF-TuM-11 Film Microstructure Control and Characterization of Ion Bombardment- Aided Remote Plasma Deposition of Silicon Dioxide Films
M.A. Creatore, N.M. Terlinden, M.C.M. van de Sanden (Eindhoven University of Technology, the Netherlands)
The control on thin film growth and microstructure in plasma deposition is a challenging issue. For example, in the case of an inorganic layer on an organic substrate (e.g., SiO2 on polymers), the organic/ inorganic interphase affects the bulk inorganic properties, such as adhesion and moisture permeation barrier performance. Within this framework, ion bombardment- aided remote plasma deposition of SiO2 layers, deposited from a hexamethyldisiloxane/O2 chemistry, is carried out: the purpose is to engineer an interphase allowing the growth of dense, yet adhesive barrier films. This control is achieved by coupling the use of ion bombardment with a graded growth flux, obtained by a gradient (e.g. a decrease) in the hexamethyldisiloxane flow rate during film growth. At constant substrate bias voltage, i.e. constant ion energy, this gradient allows to tune the ion-to-growth flux ratio and the film densification. Initially, an adhesive porous layer is deposited, gradually shifting towards a highly dense barrier layer, due to the increase in the ion-to-growth flux ratio. The role of ion bombardment on the film microstructure is investigated by means of ellipsometric porosimetry, which monitors the refractive index (n) change due to the adsorption (and desorption) of ethanol vapors in the volume of macro-meso-micro pores in the layer. From the analysis of the adsorption isotherm and the presence of hysteresis during the desorption step as a function of the equilibrium partial pressure, the open porosity and the pore volume distribution can be extracted. In the absence of ion bombardment porous (n= 1.35-1.38) layers are characterized by an isotherm shape mimicking the structure of disordered mesoporous films (pore diameter in the range of 2-50 nm), i.e. a very broad distribution in pore size and shape, resulting in 20% porosity. A progressive increase in substrate bias voltage leads towards film densification (n=1.46) and induces a change in the isotherm: the adsorption/desorption process becomes reversible since unrestricted ethanol multilayer adsorption occurs on the non- porous surface. When a mild ion bombardment (ion energy of 20 eV) is accompanied by an increasing ion-to-growth flux ratio, both the isotherm and the hysteresis behavior exhibit the transition from meso- to microporosity (pore diameter less than 2 nm). The implications in terms of porosity determination in barrier layers deposited on polymeric substrates will be also addressed.
11:40 AM PS1+TF-TuM-12 Self-Limiting Growth of Aluminum Oxide by Pulsed Plasma-Enhanced Chemical Vapor Deposition
S.F. Szymanski, M.T. Seman, D. Richards, C.A. Wolden (Colorado School of Mines)
In this presentation we describe the self-limiting deposition (~ Å/pulse) of aluminum oxide by pulsed plasma-enhanced chemical vapor deposition (PECVD). In this process the trimethyl aluminum (TMA, Al(CH3)3) and oxygen are mixed and delivered simultaneously in a remote PECVD configuration. Deposited films were characterized by spectroscopic ellipsometry, Fourier transform infrared spectroscopy, and dielectric performance. In addition, the plasma and gas-phase chemistry in this system were characterized using optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS), respectively. The chemistry and deposition kinetics were quantified as a function of TMA concentration, plasma power, substrate temperature, and pulse parameters. The deposition rate per pulse scaled with the degree of precursor exposure during the plasma off step. Through appropriate control of the TMA concentration and pulse duration, the depositing rate may be readily adjusted over a broad range (1 - 10 Å/pulse). The deposition rate also decreases with plasma power, and OES is used to highlight the role of atomic oxygen in this process. The chemistry was quantified under steady-state operation using the QMS. It is shown that O2 and TMA are unreactive with the plasma off. In contrast, TMA is completely consumed during plasma operation. Combustion of the TMA precursor is complete, yielding a mixture of CO, CO2, H2O, and H2. Transient experiments show how TMA adsorbed on the walls of the chamber can impact both deposition rate and quality. The deposition rate was found to be independent of temperature for Ts > 100 °C. At lower temperatures the deposition rate per pulse increased, but film quality was degraded. Using a combination of ex situ film characterization and in situ diagnostics it is suggested that this behavior may be attributed to thermal chemistry occurring between TMA supplied during the off step with H2O produced during the plasma on step. This reaction adversely effects film quality, but its effects are mitigated when the both reactor walls and substrate are maintained at temperatures > 100 °C.
12:00 PM PS1+TF-TuM-13 Correlation of Surface Reactivity and Gas Phase Properties of CN Active Species in the Plasma Deposition of Carbon Nitride
J. Stillahn (Colorado State University); D. Liu (Dalian Nationalities University, China); E.R. Fisher (Colorado State University)
Amorphous carbon nitride materials have generated interest due to their potential for commercial applications. One of the possible precursors in the plasma enhanced chemical vapor deposition (PE-CVD) of carbon nitride is the CN radical, but its role in the deposition process is still unclear. In an effort to clarify the processes taking place during film formation, carbon nitride deposition systems have been studied in rf inductively coupled plasmas by utilizing acetonitrile (CH3CN) as a film precursor to allow direct generation of CN active species. The imaging of radicals interacting with surfaces (IRIS) technique has been utilized in our lab to provide a measure of the surface reactivity of the CN radical, R(CN), in these systems. Preliminary results indicate that CN radicals formed in acetonitrile plasmas react with near unit probability during deposition of a-CNx:H films. Results from IRIS studies using other CN precursors will also be discussed. Characterization of gas phase species in these deposition systems has also been performed using spectroscopic and mass spectrometric (MS) methods. MS measurements are consistent with the direct formation of CN active species, and mass spectra are dominated by ions formed by the loss of CN from the parent molecule. Measurement of the relative number density of gas phase CN radicals by laser-induced fluorescence (LIF) spectroscopy indicates that increases in CN radical production due to increases in the pressure of the precursor gas or applied rf power give way to plateau behavior at higher values for both pressure and power. LIF measurements of the rotational temperature of CN radicals yield values near 320 K, suggesting that the rotational energy of CN radicals is re-distributed to maintain near-equilibrium conditions in the plasma. These data, along with film formation and characterization studies, will be discussed with respect to the information that they provide about the deposition process and their implications for continuing work in this area.
Time Period TuM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2007 Schedule