AVS2006 Session PS1-MoM: Etch for Advanced Interconnect I

Monday, November 13, 2006 8:00 AM in Room 2009

Monday Morning

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2006 Schedule

Start Invited? Item
8:00 AM PS1-MoM-1 Fluorocarbon-based Plasma Etching Processes for Silicon Dioxide and Silica Dielectrics - Mechanistic Insights and Current Issues
G.S. Oehrlein (University of Maryland)
Plasma-based transfer of resist patterns into silica-based dielectric materials using fluorocarbon discharges is one of the most widely employed and investigated application of plasma etching processes. Despite its long history and intensive research and development efforts, a number of important questions remain in our understanding of silicon dioxide plasma etching processes. In addition, the transition to lower dielectric constant insulators in back-end-of-line structures is based on an evolution from silicon dioxide to silica containing carbon-groups and nanopores. With this conversion, certain aspects of the plasma etching processes change and new phenomena can become important. In this talk we present an overview of important developments and current issues in this field.
8:40 AM PS1-MoM-3 Effect of Species Density and Ion Bombardment during Ashing of Extreme Ultra Low-κ (eULK) Inter-Level-Dielectric (ILD) Materials
M.A. Worsley (Stanford University); N.C.M. Fuller (IBM Research Division); S.F. Bent (Stanford University); T. Dalton (IBM Research Division)
The significance of ion impact and radical species density on ash-induced modification of an extreme ultra low-κ (eULK) inter-level dielectric (ILD) material (κ < 2.0) in a patterned single damascene structure exposed to Ar/O2 and Ar/N2 dual frequency capacitive discharges is determined by combining plasma diagnostics, modeling of the ion angular distribution function (IADF), and material characterization such as angle resolved x-ray photoelectron spectroscopy (ARXPS). Radical species density was determined by optical emission (OE) actinometry under the same conditions and in the same reactor in a previous study by the present authors. ILD modification is observed and correlated with changes in the plasma for a range of pressures (5-60 mTorr), bias powers (0-350W), and Ar in the source gas (0%, 85%). For the Ar/O2 discharge, extensive modification of the ILD sidewall was observed for significant ion scattering conditions and vice versa. Further, for an identical increase in the O-radical density (~ an order of magnitude) as pressure or percent Ar was increased, a different degree of modification was induced at the ILD trench bottom surface and seemingly correlated with the relative changes in the ion current for increasing pressure or percent Ar. For the Ar/N2 discharge, reduced damage of the ILD sidewall and trench bottom surfaces was observed for increasing pressure (increasing N-radical density) and decreasing ion current to both surfaces. It is, thus, proposed that the mechanism for modification of the porous ILD is dominated by the creation of reactive sites by ion impact under the present conditions. A detailed discussion of the results in relation to this proposal is presented.
9:00 AM PS1-MoM-4 Systematical Evaluation of Etching Damage of Light, Radicals and Ions on Low-k Porous SiOCH Films
S. Takashima, S. Uchida, M. Hori (Nagoya University, Japan); K. Oshima, K. Nagahata, T. Tatsumi (Sony Corporation, Japan)
In order to reduce the RC delay of ULSIs, insulating materials with lower dielectric constant (low-k) are introduced. The low-k films receive the damages from the plasmas in the etching and ashing processes. The plasma damages induce the increase of the dielectric constant of the films. In this study, we have investigated the etching damages of the low-k films by the process plasmas and clarified the influences of light, radicals, and ions in the plasmas on the properties of the low-k films systematically. The low-k film used in this study was the porous SiOCH film. In order to separate the influence of light, radicals and ions, we have developed a new technique, where the four kinds of etching samples were prepared. The MgF2 and quartz window were put directly on the film, respectively, to clarify the influence of vacuum ultraviolet (VUV) light and UV light from the plasmas. The MgF2 and quartz windows transmit the light of wavelength 115nm or more and 170nm or more, respectively. Si plate was put 0.7 mm above the film surface to investigate the influence of radicals. In order to clarify all the influences of light, radicals and ions, nothing was put on the film. The dual frequency CCP apparatus of 8 inch wafer was used. The refractive index and the film thickness were measured by an ellipsometer. At a VHF power of 500W, a bias power of 500W, a gas flow rate ratio H2/(H2+N2) of 50%, and a pressure of 5.3 Pa, the increase of the refractive index was caused by not only the radicals and the ions but also the VUV and UV lights from the plasmas. On the basis of results, degree of the damages induced by individual species and light have been clarified.
9:20 AM PS1-MoM-5 Negative Ions in Dual-Frequency Capacitively Coupled Fluorocarbon Plasmas
G.A. Curley (Ecole Polytechnique, France); J.P. Booth (Lam Research Corporation); D. Mari@aa c@ (Ecole Polytechnique, France); C.S. Corr (Australian National University); J. Guillon (Ecole Polytechnique, France)
Dual-frequency capacitively coupled plasmas in fluorocarbon-based gases are widely used for etching contact holes in SiO2-based dielectric films in integrated circuit manufacture. We are studying a customized 2 + 27 MHz industrial etch reactor, running in Ar/O2 with c-C4F8 or CF4 gas mixtures at pressures in the region of 50 mTorr (6.6 Pa). Negative ions could play an important role in this type of plasma. The presence of negative ions will modify the positive ion flux arriving at a surface, and may even reach the surface and participate in etching. We have measured the electron density using a microwave hairpin resonator1 and the positive ion flux with an ion flux probe:2 the ratio of these two quantities varies strongly with gas chemistry and gives evidence for the presence of negative ions.3 For example, by varying the flow of c-C4F8 in an Ar/O2 mixture this ratio shows evidence of high electronegativity for high c-C4F8 flowrates. We have also measured the negative fluorine ion, F-, density directly by high-sensitivity cavity ring-down absorption spectroscopy4 in the wavelength range 340 to 360 nm to determine the density of absorbing F- ions from the known photo-detachment cross-section. The F- densities were seen to reach values in the 1011cm-3 range, giving electronegative fractions, α = n-/ne of up to 15 when used in conjunction with the hairpin probe measurements. We acknowledge financial assistance from Lam Research Corporation.


1 Piejak et al, J. Appl. Phys. 95 (2004), 3785-3791
2 Braithwaite et al, Plasma Sources Sci. Technol., 5 (1996), 677-684
3 Chabert et al, Plasma Sources Sci. Technol., 8 (1999), 561-566
4 Booth et al, Appl. Phys. Lett., 88 (2006), 151502.

9:40 AM PS1-MoM-6 Plasma and Etching Characteristics for C6F6 Gas
S.C. Park, H.S. Shin, J.W. Sun, C. Shin, C.-J. Kang, H. Cho, J.-T. Moon (Samsung Electronics, Korea); M.S. Kim, B. Ji, W.J. Howard (Air Products and Chemicals, Inc.)
In this paper for studying plasma and etching characteristics of C6F6, we chose C6F6 as one of the promising candidates of next generation high aspect-ratio contact(HARC) etching gas because it could make more polymer than C4F8 and C4F6 which widely used as HARC etching gas. High polymer generation characteristic could resolve the selectivity problem which has become more serious in sub-100nm HARC etching. To identify the difference between C6F6 and other gases, plasma and etching characteristics were compared. Quadrupole mass spectroscopy(QMS) and optical emission spectroscopy(OES) were used to identify plasma characteristics and X-ray photoelectron spectroscopy(XPS) was used to investigate the polymer from each gases. Gases were used for sub-100nm HARC etching and etching characteristics were compared. Commercial CCP-type etcher was used for etching. C6F6 showed 1.7 times higher polymer deposition rate than C4F8, but it showed lower C/F ratio in polymer than other gases contrary to higher C/F ratio in the gas. This C/F ratio in polymer affected profile and selectivity during HARC etching. From QMS study, we knew that C6F6 cracked into relatively larger molecules than other gases at the same condition, and CF2+ ion was not observed from C6F6 cracking patterns as we could expect from C6F6 gas structure. This fragment patterns also affected polymer composition and etching characteristics. We used C6F6 to etch sub-100nm HARC etching and compared with other gases.
10:20 AM PS1-MoM-8 New Challenges in Etching Ultra Low-k Dielectrics for 45nm and Beyond
A. Li, K. Zhou, Y. Zhou, R. Cheung, S. Parikh, M. Armacost (Applied Materials, Inc.)
Abstract Ultra low-k (ULK) dielectrics with k-values below 2.5 have been extensively evaluated for 45 nm node and beyond. Most ULK dielectrics have highly connected pore structure and therefore, exert new challenges during plasma processing. Conventional O2-based plasma resist stripping processes can cause significant loss of -CH3 groups, surface densification and pore collapse in ULK, and therefore, lead to film shrinking, profile distortion and an increase of its k value. The pore structures can also induce surface roughness and micro trenching. In this paper, physical findings on ULK damage and rough etch front/micro trenching and electrical validations in a high frequency capacitive coupled plasma etch chamber have been reported. Both O- and N- based chemistry have been investigated for in-situ resist stripping and the dependences of damage and etch front on gas flow rates, pressure, rf power and power ratio have been studied. Auger Electron Spectroscopy (AES) and Electron Energy Loss Spectroscopy (EELS) have been employed to probe the degree and depth of chemical composition changes in the modified ULK layer. The results suggest that in-situ stripping plays an important role in controlling ULK damage and final ULK etch front. The ULK damage and rough front/micro trenching formation mechanisms have also been discussed.
10:40 AM PS1-MoM-9 Analysis of Plasma-Induced Modification of Porous SiOCH Low-k Materials during Etching and Post-Etching Plasma Processes
K. Kurihara, H. Hayashi, T. Ohiwa (Toshiba Corporation, Japan)
Porous SiOCH low-k materials have been extensively investigated to achieve high performance interconnect for ULSI devices. However, etching damage arising from high porosity and low density becomes serious problems. During the etching process, a large amount of fluorine diffused into the porous low-k film, and carbon was easily removed from the film. This fluorine absorption and carbon loss would deteriorate the low-k property and the low-k/Cu interconnects reliability due to moisture uptake. Therefore, fluorine removal from the film is required. In this paper we examined plasma-induced modifications of the porous SiOCH low-k film by fluorocarbon gas mixture plasmas, and subsequent plasma treatment using by H2 gas. In the actual dry etching condition, fluorine atoms were adsorbed to the side walls of etched patterns without ion bombardment, and diffused into the film. To simulate this condition, the blanket low-k samples were irradiated by only neutral reactive species using a plasma beam apparatus.1 After the fluorocarbon radical beam irradiation, the film properties were analyzed by quasi-in situ XPS and SIMS. Fluorine atoms were deeply distributed into the film, and they were hardly eliminated by any plasma irradiation conditions at room temperature. On the other hand, a certain amount of fluorine atoms bonded to carbon were scavenged by the subsequent H2 plasma irradiation at higher substrate temperature. After this process we have observed no fluorine signal at TDS measurement where the film was heated up to 800°C. Remained fluorine atoms in the film after this process were bonded to silicon atoms, and it was difficult to desorb from the film. Therefore, it was clearly shown that the post-etching processes using H2 plasma at higher temperature were useful to reduce fluorine content in the film, and to achieve higher reliability of low-k/Cu interconnects.


1K.Kurihara et al. J. Vac. Sci.Technol. A 22, 2311(2004).

11:00 AM PS1-MoM-10 Material Modifications and Surface Roughness during Porous SiOCH Etching Processes
F. Bailly (CNRS-IMN-France); T. David (CEA-LETI-France); A. Jacquier (STM-France); M. Darnon (CNRS-LTM-France); C. Cardinaud (CNRS-IMN-France)
With dimensions shrinkage, chip performances limitations are mainly due to interconnect RC delay time. Introducing porosity into dielectrics, leading to ultra low-k materials, is one of the emerging solutions. Integrating such porous materials requires complex dual damascene architectures: new steps and additional layers (etch stop ...) have been added and increase the effective capacitance of the structure. Efforts have to be done to reduce the effect of these layers. Thus, the trench bottom etch stop layer used in the dual damascene architecture has to be removed, complicating the control of the end of the trench etch. Furthermore, stopping the etch process directly on the porous material is likely to cause integration issues such as material modifications and roughness of the trench bottom. As a basic study, we simulate the influence of etch plasmas on trench bottom. We propose to characterize material modifications and roughness induced by the etch plasmas on blanket wafers coated with porous SiOCH (20% of porosity). These plasmas are performed in a capacitive discharge reactor. The feed gases are chosen to be representative of the different components of a typical low-k etch process (CF4; Ar = role of bombardment; SF6 = role of fluorine; CF4/Ar/CH2F2 = effect of a polymerizing gas addition; CF4/Ar/N2; ...). The roughness is measured by AFM and is correlated with surface analyses (XPS and XRR) to point out any relations between etch mechanisms and the resulting roughness. Results highlight that the addition of O2 or N2 among the feed gases (CF4 and Ar) leads to roughness increase. On the contrary, when adding a polymerizing gas, smoother surfaces are observed thanks to a protective fluorocarboned overlayer. The material modification is investigated by Infrared Spectroscopy: the results show that the remaining porous SiOCH is slightly modified in terms of CH3 consumption and water uptake.
11:20 AM PS1-MoM-11 Grain Size Effects on Plasma-based Copper Etch Process
G. Liu, Y. Kuo (Texas A&M University)
Copper (Cu) is the most popular interconnect material in advanced VLSIC products because of its low resistivity and high resistance to electromigration. Previously, a novel plasma-based, room-temperature copper etching method was presented.1,2,3 A high etch rate of 400 nm/min has been achieved at room temperature using a conventional reactive ion etching reactor with Cl or Br chemistry. In spite of the thorough understanding of the plasma-Cu reaction process, there is little information on the copper structure effects. In this work, authors investigated the grain size effects on the etching process. Cu films were deposited by sputtering followed by annealing at various temperatures. Several results have been obtained: 1) the grain size and film conductivity increased with the annealing temperature, 2) under the same plasma exposure condition, the Cu consumption rate increased with the grain size, 3) the surface roughness of the CuClx reaction product increased with the grain size, and 4) the Cu line shape and sidewall profile were related to the grain size. In summary, Cu structure is an important factor in the plasma-based etching process and the plasma-copper reaction mechanism dominates the etch rate and the final pattern.


1 Y. Kuo and S. Lee, Appl. Phys. Lett., 78, 1002 (2001).
2 S. Lee and Y. Kuo, Thin Solid Films, 457, 326 (2004).
3 Y. Kuo, Procs. 6th Intl. Conf. Reactive Plasmas and 23rd Symp. Plasma Processing, 29 (2006).

Time Period MoM Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2006 Schedule