AVS2005 Session PS1-MoA: Dielectric Etch I

Monday, October 31, 2005 2:00 PM in Room 302

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule

Start Invited? Item
2:00 PM PS1-MoA-1 Reduction of Line Edge Roughness for 65nm Technology Node for Etched Contact Holes
B. Goodlin, D. Farber, T. Lii, G. Shinn (Texas Instruments Incorporated)
For the 65nm technology node, reduction of contact hole line edge roughness is critical for reliable performance in densely packed memory cells. Origins of contact line edge roughness are attributable to three different mechanisms: 1) pattern transfer line edge roughness, resulting from propagation of striations from 193nm resist to the underlying substrate, 2) deposition-related line edge roughness, resulting from pattern transfer of non-uniform and irregular shaped deposition at the top of the hole to the bottom of the etched feature, and 3) pin-hole punch-through line edge roughness, where faceting or thinning of resist towards the end of etch processing results in shallow pin-holes that do not propagate down the entire length of the feature being etched. In order to fully appreciate these different types of line edge roughening for process optimization, a metrology technique was utilized that could characterize roughness at both the top and bottom of an etched feature. Using such metrology, an etch process has been optimized to minimize line edge roughness, while satisfying several other strict processing constraints.
2:20 PM PS1-MoA-2 Difference in Etch Depth between Isolated and Dense Holes in Via-Etching of SiOC Film
Y. Momonoi (Hitachi, Ltd., Japan); K. Yonekura (Renesas Technology Corp.)
Carbon doped silicon oxide (SiOC) has been widely used in integrated circuits (ICs) in order to reduce the total resistance capacitance (RC) delay in the interconnects. However, we found that there was a significant difference between the etch depths of isolated and dense SiOC via-holes. In addition, we found a mutually opposite dependence of etch depth on pattern density under different etching conditions. Equally, the etching properties, e.g., etch rate and depth of unexpected etch stop that are dependent on pattern density were different in different etching conditions. We expect that the difference in etch depth is a key issue in controlling critical dimensions, mask selectivity, and erosion of etch stop layers. In investigating the difference, it was clarified that the etch depth of isolated patterns was deeper than that of dense patterns when the etch depth was determined by an unexpected etch stop (narrow etch-stop-margin condition), and that the etch rate of isolated patterns was slower than that of dense patterns when the etch depth was based on etch rate (wide etch-stop-margin condition). We also found that the difference increased the longer the wafers were stored, which is in accordance with an increase of a gas degassed from SiOC in thermal desorption spectroscopy. We therefore investigated the influence of the gas on SiOC etching by adding it to plasma. Consequently, the added gas resulted in the same difference in etch depth as the difference that occurred between isolated holes and dense holes in both etch-stop-margin conditions. These results indicate that more of the gas degassed from isolated holes in SiOC determines the difference in etching depth.
2:40 PM PS1-MoA-3 Etching Mechanisms of Low-k Material with the Solid FirstTM ILD Process in Fluorocarbon based Plasma
T. Chevolleau, D. Eon, M. Darnon, L. Vallier, O. Joubert (CNRS/LTM, France)
In CMOS technology, most of the interlayer dielectric materials achieve low k value by introducing porosity in order to reduce the total resistance capacitance (RC) delay in the interconnect levels. However, porous materials as pSiOCH are very sensitive to ash and etch plasma exposure and one of the integration challenges is to reduce the impact of these plasma processes. To solve this issue, one of the emerging solutions is the Solid FirstTM ILD process. In this approach, the porosity in SiOCH is generated by releasing porogens (carbon based polymer) after patterning or copper filling. The SiOCH containing porogen materials are expected to behave like non-porous materials during the etching and ashing processes (as long as the porogens have not been released). This study concerns the etch mechanisms of a solid first SiOCH low-k (Zirkon V8+ from Rohm and Haas) and selectivitiy to SiC and SiCN etch stop layer. The etching is performed on blanket wafers in an industrial MERIE reactor (Magnetically Enhanced Reactive Ion Etcher) using fluorocarbon based plasmas. Etch rates are measured by ellipsometry, chemical surface composition is analyzed by quasi in-situ XPS, and bulk modification by infrared spectroscopy. Etching is performed in fluorocarbon based plasmas (CF4) in mixture with Ar, CH2F2, or O2). Similarly to dense SiOCH materials, the etch rate decreases with either increasing Ar dilution or polymerizing gas addition. Nevertheless, XPS analyses reveal that the increased carbon content in the low-k material due to the presence of the porogen leads to the formation of a thick fluorocarbon overlayer during plasma exposure. Consequently, etch stop phenoma can occur even with low polymerizing fluorocarbon plasmas. On the other hand, FTIR analyses clearly show that the presence of porogens in the SiOCH matrix prevent bulk modification during a fluorocarbon plasma exposure.
3:00 PM PS1-MoA-4 The Role of Inert Diluents in Low Pressure Electronegative Fluorinated Gas Discharges under Dual Frequency Excitation
M. Hussein, M. Abdelrahman (Intel Corporation)
We investigated the role of argon (Ar) and helium (He) dilution on the discharge characteristics and etch rate performance of NF3 plasma under single and dual excitation frequency conditions. The discharge complex impedance was measured using an advanced, passive I-V probe installed between the match network and the bottom electrode of a dual frequency, fixed gap, capacitively-coupled parallel plate commercial etch system. We studied the relationship between the etch performance of NF3/Ar/He plasma and the discharge complex impedance and dissipated power. A 300mm diameter test wafer substrate, with ~3-5% of its surface area occupied by vias patterned into a 360nm thick carbon-doped oxide (CDO) deposited on top of a 300nm silicon carbide (SiC) layer, was utilized for etch rate characterization. Both the CDO and SiC films were exposed to the plasma and etched at the same time, mimicking the process of SiC barrier etch used at the end of a dual damascene patterning process flow. We varied the NF3 flow rate form 0 to 18% of the total flow over a pressure range from 10mT to 150mT. Under dual frequency excitation, where 400Watts 60MHz and 50 Watts13.56MHz were applied to the top and bottom electrodes, respectively, the discharge complex impedance changed drastically over the tested pressure range. Below 40mT, the discharge is characterized by low impedance (~10-20 ohm). As the pressure increases, the impedance increases and reaches an asymptote at the 20-25 ohm range. This general trend was not observed under the 13.56MHz single frequency excitation mode, where a monotonic decrease in impedance with increasing pressure was observed regardless of diluents. We propose a model, similar to Langan's et al.,1 suggesting that the dominant role of the diluent in NF3 plasmas is to control the electronegativity of the discharge, and thus to control real power dissipation.


1J. Langan, S. Beck, B. Felker and S. Rynders, J. Appl. Phys. 79(8), pp.3886, 15 April 1996.

3:20 PM PS1-MoA-5 Polymer Management in Dielectric Etch
E.A. Hudson, A. Marakhtanov, K. Takeshita (Lam Research Corp.)
Etching of dielectric films for microelectronics requires processes which provide control of feature profiles and high selectivity to mask and stop layer films. For most dielectric films, especially Si-containing materials, plasma etch processes tend to deposit fluorocarbon or hydrofluorocarbon films. These polymeric films play many essential roles, acting to passivate feature sidewalls, to control critical dimensions and profile angles, to reduce mask loss, to minimize corner faceting, and/or to protect underlayers. But polymer deposition on reactor surfaces can lead to difficulties in maintaining stable reactor conditions. This paper focuses on several critical areas in dielectric etch processing where understanding and managing the behavior of deposited polymer is crucial. One focus is the effect of polymer upon microscopic structures. For example, low-k dielectric films tend to be modified by photoresist removal processes. Protective sidewall films have shown the capability to limit strip-induced damage. In another example, fluorocarbon films prepared under simulated conditions for sidewall vs top surfaces have shown different properties. These differences can influence final etch profiles. Another focus is the behavior of polymer on reactor surfaces. Multi-step in-situ processing has become a standard production method for reducing cost and cycle time. To maintain a stable process, it is important to understand how the chamber condition evolves during the sequential etch steps, and to minimize the interaction between these steps. Several process and plasma parameters influence the measured deposition rate of polymer on reactor surfaces. For transitions from a polymerizing step to a step which can etch polymer, the plasma conditions and process results may be influenced by the removal of residual chamber polymer. Time-resolved plasma measurements show the extent of this step-to-step chamber memory effect, and how it can be minimized.
4:00 PM PS1-MoA-7 Polymer Management in Advance Dielectric Etch Applications
G.A. Delgadino, D. Buchberger, Y. Zhou, Y. Xiao (Applied Materials, Inc.)
Historically, dielectric etch has rely on polymer deposition to achieve desire selectivity to photoresist and barrier film. As features size shrinks, softer shorter wavelengths photoresist are required. In particular, 193nm PR is not only thinner but also more prone to form veils and striations. Heavy polymer deposition is usually used to protect Photoresist and to minimize pinhole formation and striations. Unfortunately fluorocarbon deposited on the wafer and the chamber is released during insitu ashing attacking the barrier layer and sidewall. In this paper we describe the development of a polymer rich recipe that greatly protects photoresist resulting in no top view striation. Minimal barrier loss can be achieved by depositing a thin polymer film over the barrier minimizing barrier loss during ashing. Polymer also deposits in undesired places like the back side of the wafer. Small gaps allow polymer precursors to diffuse in but prevent the ashing plasma to rich the same spots. This deposited polymer might flake during other wafer processing steps reducing yield,1 or might just contaminate other process equipment like the PVD preclean chamber increasing frequency of maintenance. In this work we evaluate intra-chamber and intra-system solutions compatible with low k porous films, to minimize yield loss related to such undesired deposition.


1 T. Dalton et. al. AVS 2004.

4:20 PM PS1-MoA-8 Analysis and Impact of F Penetration into low-k Dielectrics during Plasma Etch
R. Augur, C. Labelle (Advanced Micro Devices Inc.); C. Parks, S. Mehta, N.C.M. Fuller (IBM Corporation)
The semiconductor industry's move towards incorporating porous low-k dielectrics in future technology generations raises many questions about the thermal, mechanical, and chemical interactions between porous dielectrics and the different environments they will encounter during integration. This paper reports detailed analysis of F penetration into porous and dense SiCOH-based low-k dielectrics, introduced during plasma etch. SIMS depth profiling was used to compare the concentration of F in etched and non-etched regions. For porous materials, the F concentration was found to be approximately 5 times higher in via-etched regions, and lateral penetration occurred throughout the thickness of 300nm films. Profiles were similar for spin-on (SOD) and chemical vapor deposited (CVD) films, even though the pore-size distribution and average pore size were different. Furthermore, profiles from dense CVD low-k films were also similar to those from porous films, even though the etch conditions were very different for the two cases. These results suggest porosity does not play a major role in F diffusion into SiCOH-based low-k materials. Profiles from the bottom of wide trenches were also similar to via-etched cases: high F concentration compared to non-etched regions, and penetration to 100nm below the trench bottom. The results of this study show F can be incorporated in low-k dielectric films during etch, and not completely removed during the subsequent resist strip. The F can interact with the strip chemistry to significantly etch the ultra-low-k material, and may cause reliability problems later.
4:40 PM PS1-MoA-9 Effect of Species Density and Ion Scattering During Ashing on Ultra Low-κ Inter-Level Dielectric Films
M.A. Worsley, S.F. Bent (Stanford University); N.C.M. Fuller, J. Doyle, M. Rothwell (IBM TJ Watson Research Center); T.L. Tai (IBM Microelectronics Division); T.J. Dalton (IBM TJ Watson Research Center)
The challenge of integrating ultra low-κ inter-level dielectric (ILD) materials in dual damascene integration schemes continues be a key issue in the microelectronics industry. For the 45 nm technology node and beyond, the ITRS roadmap predicts the need for porous organosilicate glass (OSG) materials. It has been shown that these porous OSG materials are even more susceptible to modification by photoresist ash plasmas than dense OSG films. Therefore, a more detailed understanding of the plasma characteristics that mitigate this modification is critical for successful integration of ultra low-κ ILDs in current integration schemes. Previous work by these authors has revealed several factors that influence modification using various techniques focused on analysis of the modified ILD. The present work combines that material analysis of the modified ILD with characterization of some key parameters in the plasma and at the plasma-surface interface. In this study, optical emission (OE) actinometry is used to measure the absolute densities of reactive radical species, and modeling of various plasma parameters (sheath thickness and positive ion mean free path) is used to estimate the significance of ion scattering in several ashing plasmas. Patterned structures in a porous OSG are ashed in conditions identical to that characterized and then analyzed using angle-resolved x-ray photoelectron spectroscopy (ARXPS). Data from the OE actinometry and modeling are combined with the ARXPS data to gain further insight into the mechanism by which modification of the OSG occurs in a patterned structure. Relevant results will be presented.
5:00 PM PS1-MoA-10 Behaviors of Fluorocarbon Radical Temperature in Ar/N2/C4F8 low-k Etching Plasma
M. Nagai, M. Hori (Nagoya University, Japan)
Fluorocarbon plasma has been used for various fields of material processing. Recently, low dielectric (low-k) films are used for interlayer dielectrics in ULSI. The etchings of low-k films such as SiOCH have been developed with Ar/N2/C4F8 plasma. One of the most serious problems of low-k films etching is a line edge roughness of resists, which is considered to be closely related with the behaviour of the radical. Recently, several techniques have been employed to measure fluorocarbon plasmas. Optical emission spectroscopy (OES) is a powerful tool to measure the radical temperatures because it does not require complicated system such as a laser. In this study, we investigated behaviors of radicals in 60 MHz capacitively coupled plasma (CCP) using Ar/N2/C4F8 gases. OES was applied to measure rotational temperatures of CF radical and neutral molecule in the excited state. The N2 rotational temperature was used for the neutral gas temperature in plasma. Infrared diode laser absorption spectroscopy (IRLAS) was applied to measure rotational temperatures and densities in the ground state. The CF rotational temperature was increased from 290 K to 430 K with increasing N2 flow rate in Ar/N2/C4F8 plasma. The rotational temperature using OES was equilibrium with the rotational temperature using IRLAS. It was found therefore that the rotational temperatures in the excited state were equilibrium with the rotational temperatures in the ground state. CF radical density was decreased from 1.5 x 10 12 cm-3 to 0.6 x 1012 cm-3, and F atom density was increased with increasing N2 gas flow rate. The decrease of the CF radical density was due to the recombination with CF radical and N atom. One of the mechanisms of the increase of the CF rotational temperature was due to Franck-Condon effect with the increase of the electron temperature with N2 addition.
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2005 Schedule