AVS2005 Session EM-MoA: High-k Dielectric Growth and Processing

Monday, October 31, 2005 2:00 PM in Room 309

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2005 Schedule

Start Invited? Item
2:00 PM EM-MoA-1 Peter Mark Award Lecture: Synthesis and Patterning of Multifunctional Oxides
J.P. Chang (University of California, Los Angeles)
The continuous down-scaling of the microelectronic and optoelectronic integrated circuits dictates the development of atomic layer deposition and high fidelity pattern transfer processes to synthesize and integrate novel materials, such as multifunctional oxides, into nanometer scaled devices. In this talk, I will first discuss current research progress in atomic layer deposition for synthesizing transition metal oxides which can be used as a gate dielectric material on semiconductors in transistors, or as a waveguide core material for planar miniature optical amplifiers. The interfacial composition and dipole are found to control the band alignment at the dielectric/semiconductor heterojunctions, while the short range order as determined by extended x-ray absorption fine structure analysis governs the electronic and optoelectronic properties. To integrate these oxide materials into future generations of devices, a viable etching process is needed to its realization. I will address the design of plasma chemistries to selectively pattern these oxide materials, including the individual and synergistic effects of ions and radicals, and their impact on device integration.
2:40 PM EM-MoA-3 DFT Investigation of the Initiation of HfO2 ALD on H-Si(100)-2x1 and Nitrided Si Surfaces
Y. Xu, C.B. Musgrave (Stanford University)
Atomistic mechanisms for the initiation of atomic layer deposition (ALD) of hafnium oxide (HfO2) on hydrogen terminated and nitrided silicon surfaces were investigated using density functional theory (DFT). There are two possible pathways for the reaction of the precursor Hf[N(CH3)2]4 and Si-H surfaces. One is to form a Si-Hf bond through a ligand exchange mechanism. One H atom from the Si-H* surface site and one N(CH3)2 group of the precursor combine through a four-centered transition state to form NH(CH3)2, which subsequently desorbs. The second pathway is one H atom will be transferred from Si-H surfaces to one CH3 group from precursor to form Si-N-Hf bond. Alternatively, Hf[N(CH3)2]4 and residual water in the reactor can react to form Hf[N(CH3)]x(OH)y. Subsequently, Hf[N(CH3)]x(OH)y can react with Si-H* to form Si-O-Hf structures of the reacted precursor. This reaction is more kinetically favorable than both of the reaction of Hf[N(CH3)2]4 with Si-H surfaces and it is important for understanding the interface structure between silicon surface and HfO2 films. We have also investigated the reactions of Hf precursors with the nitrided Si(100) surface and found that alkylamide precursors can react with SiNH* sites to initiate ALD whereas HfCl4 reactions with the nitrided surface are kinetically unfavorable.
3:00 PM EM-MoA-4 Hafnium Based High K Dielectrics for Advanced ULSI Technology
S. Muthukrishnan, S. Kher, P. Narwankar, R. Sharangapani, T. Goyani, Y. Ma, K. Ahmed, G. Conti (Applied Materials Inc.)
Hafnium based high k dielectric has emerged as a primary candidate for advanced ULSI technology due to its thermal stability property and leakage current reduction potential in comparison to other high k materials. We have successfully developed a hafnium based gate dielectrics, HfO2 and HfSiOx, using both ALD and MOCVD techniques. The materials are thermally stable up to source/drain activation temperature of 1050C and above when nitrogen is incorporated into the high k film. The nitrogen is incorporated into the high k film through plasma nitridation. We have been able to incorporate as high as 25% nitrogen in the high k film. The benefit of the plasma nitridation is in its capability of engineering the nitrogen profile in the gate stack. The nitrogen can be engineered to maintain the highest concentration in the bulk of the film and prevent nitrogen from going to the dielectric and channel interface. This profile provided the High K film with low leakage current. X-ray Photoelectron Spectral analysis showed that nitrogen is primarily bonded to the silicon. Besides high k deposition, process integration is critical not only for the gate dielectric performance but also for its scaling capability. By controlling the silicon surface coverage prior to high k deposition and surface adsorption from clean room environment, additional scaling can be achieved with processing steps in integrated clustered tools.
3:20 PM EM-MoA-5 Plasma-enhanced ALD for CMOS Applications
G. Wilk, S. Marcus, P. Raisanen (ASM America); Y.M. Yoo, D.Y. Kim (ASM Genitech)
Plasma-enhanced ALD (PEALD) is a novel deposition technique which allows low-temperatures and a wide selection of precursors. PEALD films result in substantial leakage current benefit compared to thermal ALD films for dielectrics, and result in low-resistivity metals compared to thermal ALD metals. This process also maintains the benefits of standard Atomic Layer Deposition, including outstanding thickness control and conformality. Several applications will be shown to have excellent capacitance, leakage and breakdown characteristics, including metal gates and MIM capacitors. A range of dielectrics and metals will be presented, including Al2O3, HfO2, Ta2O5 and TiN.
4:00 PM EM-MoA-7 LPCVD of Thin TiO2 Films using TDEAT as Ti Source Gas and NH3 as a Catalyst
X. Song, C.G. Takoudis (University of Illinois at Chicago)
Thin TiO2 films were deposited using Tetrakis(diethylamino)titanium (TDEAT) and O2 as source gases, and NH3 as a catalyst. The deposition temperature was 100 - 250°C and the system pressure was on the order of 1 torr. Real-time reactor gas analysis was carried out with a Residual Gas Analyzer. The TiO2 film thickness was probed using spectroellipsometry. Time of flight secondary ion mass spectroscopy (ToF-SIMS) was utilized to study the depth profile of oxygen and other elements in the deposited films. The bonding states and atomic compositions of the samples were analyzed with x-ray photoelectron spectroscopy (XPS). It is found that when the TDEAT feed flow rate increases, the TiO2 deposition rate increases. The growth rate of TiO2 films deposited using NH3 is substantially higher than that of films deposited without NH3; for example, at 200°C, the growth rate increases from 4.7 nm/min (without NH3) to 38 nm/min (in the presence of NH3). XPS data show that the as-deposited Titanium Oxide films are stoichiometric, even when NH3 is used. ToF-SIMS depth profile analysis of as-deposited and annealed TiO2 is done using Cesium primary ion beam sputtering. The annealing is performed in Oxygen, at 750°C for 10 min. The Oxygen distribution profiles along the depth of both as-deposited and annealed films are found to be uniform; this indicates that Oxygen is incorporated during deposition rather than diffusion during annealing. The impurity levels of C, H, and N are found to be lower in the annealed films than those in the as-deposited films. This study shows that TiO2 can be deposited at low substrate temperature and high deposition rates with low impurity levels using TDEAT and O2 as source gases and NH3 as a catalyst. The impurities in the films can be further reduced with post annealing if desired.
4:20 PM EM-MoA-8 MOCVD of ZrO2 Thin Films Using the Novel Single Precursor Zirconium 3-methyl-3-pentoxide, Zr(mp)4
W. Cho, K.-S. An, Y.K. Lee, T.-M. Chung (Korea Research Institute of Chemical Technology, South Korea); D. Jung (Sungkyunkwan University, South Korea); Y. Kim (Korea Research Institute of Chemical Technology, South Korea)
Thin films of zirconium dioxide, ZrO2, have been deposited on silicon substrates by metal organic chemical vapor deposition (MOCVD) using the novel single precursor, zirconium 3-methyl-3-pentoxide {Zr[OC(CH3)(C2H5)2]4, Zr(mp)4}, with no additional oxygen source, and the CVD reaction mechanism was also investigated. In its thermogravimetric analysis (TGA), Zr(mp)4 showed an excellent one-step weight loss and a low residual weight (less than 2.5%). The deposition rate was found to be ~30 Å/min at the substrate temperature of 400 °C and the activation energy of deposition was 33.3 kJ/mol which is similar to that of zirconium tert-butoxide, Zr(OtBu)4. By gas chromatography/mass spectroscopy (GC/MS) and nuclear magnetic resonance (NMR) analyses of the thermally decomposed vapor phase products collected during the deposition of ZrO2 films, it was clearly found that the films were grown via β-hydrogen elimination processes of the Zr(mp)4 single precursor. Negligible carbon contamination of the ZrO2 films, examined by x-ray photoelectron spectroscopy (XPS), indicates that, except for the β-hydrogen elimination processes, no additional decomposition and/or recombination processes contributed to the ZrO2 film growth. The morphology, crystallinity, and electrical properties of the ZrO2 films were characterized by atomic force microscopy (AFM), x-ray diffraction (XRD), and capacitance-voltage (C-V) and current-voltage (I-V) measurements.
4:40 PM EM-MoA-9 The Effect of Nitrogen Incorporation on the Thermal Stability of La, Hf-aluminate Gate Stacks on Silicon
P. Sivasubramani, P. Zhao, F.S. Aguirre-Tostado, J. Kim, M.J. Kim, B.E. Gnade, R.M. Wallace (University of Texas at Dallas)
The International Technology Roadmap for Semiconductors (ITRS) 2003 predicts the need for the introduction of high dielectric constant (k) gate dielectrics by 2006 to meet continued scaling requirements in metal-oxide silicon field-effect transistor (MOSFET) digital logic technology. Hf, Zr, group III or rare earth oxides with N, Al and/or Si additions have been identified as possible high-k gate dielectric candidates for near term MOSFET scaling.1 The thermal stability of a high-k dielectric film in direct contact with the underlying Si substrate is essential because out-diffusion of metal impurity atoms into the channel region during processing can cause carrier mobility degradation and affect the electrical performance of integrated circuit. Evaluation of the thermal stability of molecular beam deposited LaAlO3 thin films show crystallization and out-diffusion of La and Al into Si (100) substrate when subjected to rigorous rapid thermal anneals (RTA) at or above 950 °C, 20 sec. in N2 ambient.2 In this study, the effect of nitrogen incorporated into the La- and Hf-aluminate thin films and at the Si (100) interface will be discussed. Films are fabricated using reactive sputter deposition in Ar + N2 followed by subsequent UV-O3 oxidation at room temperature. High resolution transmission electron microscopy, atomic force microscopy, x-ray photoelectron spectroscopy, x-ray reflectivity, x-ray diffraction, and secondary ion mass spectroscopy are utilized to characterize the gate stacks before and after RTA in the 850 to 1050 °C temperature range. This work is supported by the Semiconductor Research Corporation (SRC) FEP Transition Center.


1
1ITRS, Front End Processes, p. 33 [2003].
2P. Sivasubramani, M. J. Kim, B. E. Gnade, R. M. Wallace, L. F. Edge, D. G. Schlom, H. S. Craft and J.-P. Maria, accepted for publication in Appl. Phys. Lett.

5:00 PM EM-MoA-10 Atomic Layer Chemical Vapor Deposition and Characterization of Hf-silicate, Hf-silicate/Al2O3, and Hf-silicate/SiO2 Gate Dielectrics
J. Kim, K. Yong (POSTECH, Korea)
Silicate or aluminate including hafnium components is considered to be the most promising alternative gate dielectrics, due to good thermal stability in direct contact with silicon. Hf-silicate (HfSixOy) films were grown by ALCVD using the precursor combination of tetrakis-diethylamido-hafnium [Hf(NEt2)4] and tetra-n-buthyl orthosilicate [Si(OnBu)4]. A highly conformal, uniform Hf-silicate films of a few nm was observed by high resolution-TEM. The Hf/(Hf+Si) was average 0.38 for the bulk film, indicating a Si-rich composition. However, the formation of Hf-silicide (Hf-Six) at Hf-silicate/Si interfaces was induced by the reaction of metallic Hf atoms with Si substrate atoms. As a gate oxide becomes thinner, the interfaces have shown to play a key role in device performance. In order to improve the interface properties, the Al2O3 and chemical SiO2 layers were introduced to fabricate the Hf-silicate/Al2O3 and Hf-silicate/SiO2 stacks, respectively. Al2O3 films were deposited by ALCVD at 200 °C using Al(CH3)3 and H2O. The chemical SiO2 of ~1.5 nm was formed by phirana (H2SO4/H2O2=4:1) cleaning process. The Hf-silicate/Al2O3 bilayer showed amorphous characteristics, while Hf-silicate films showed a micro-crystalline structure. The Hf-Six formation was significantly decreased in the case of Hf-silicate/Al2O3 and Hf-silicate/SiO2 bilayer. Compared with Hf-silicate, Hf-silicate/Al2O3 exhibited a lower leakage current density of 8.9 x 10-8 A/cm2 at a gate bias of -1 V for an equivalent oxide thickness of 5.2 nm. Hf-silicate/Si and stacked Hf-silicate/SiO2/Si were furnace annealed at 650, 750, and 850 °C in the N2O/Ar and N2O/O2 atmosphere. The phase transition (crystallization) was confirmed by XRD, FT-IR and Raman-IR results.
Time Period MoA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS2005 Schedule