AVS2003 Session SS-TuP: Poster Session

Tuesday, November 4, 2003 5:30 PM in Room Hall A-C

Tuesday Afternoon

Time Period TuP Sessions | Topic SS Sessions | Time Periods | Topics | AVS2003 Schedule

SS-TuP-1 Ultrastable Besocke Type Scanners
N. Pertaya, K.-F. Braun, K.H. Rieder (Freie Universität Berlin, Germany)
A widespread used construction for the coarse approach mechanism in scanning probe microscopy is the Besocke beetle type. Using stick-slip motion the scanner can thereby be positioned on the nm scale covering usually a range of several mm horizontally and vertically. This apparent advantage of a high flexibility is bought on the expense of a reduced mechanical stability. Mechanical resonances around one kHz are attributed to so-called rattling modes (dependent on the contact forces between moving and fixed parts), other modes result from the scanner itself, and limit the performance of the microscope.1 Here we present a detailed analysis of the vibrational response of a beetle type scanner and based on this a very stable optimized scanner setup. Three scanners were assembled using different type of and differently dimensioned piezoceramics. Type (a) and (b) are using tube piezos of different length and thickness. Covering the same scanning area the overall size was reduced and the lowest measured mechanical resonance shifted from 300 to 900 Hz. In a recent setup (c) shear stack piezoelectric elements (PI - ceramics) were used, which resulted in a shift of the lowest resonance frequency to 6 kHz. Freshly cleaved graphite surface was imaged using a mechanically cut golden tip. Typically atomic-resolved images obtained under ambient conditions show a triangular lattice or a honeycomb array respectively.


1S. Behler, M.K. Rose, D.F. Ogletree, M. Salmeron, Rev. Sci. Instr. 68, 124 (1997).

SS-TuP-2 Studying Surface Phenomena by Pulsed Heating of Microdevices
O.C. Thomas, R.E. Cavicchi, S. Semancik (National Institute of Standards and Technology)
MEMS microheater devices have been operated with periodic (2-40 Hz), short duration (5ms) temperature pulses to investigate the relationship between surface adsorbate concentrations and surface electronic behavior of high surface area, oxide films. Tin oxide films were grown by CVD on microscale (40 µm X 100 µm), thermally isolated bridge structures that possess embedded polysilicon heaters and surface electrodes. These structures permit the rapid thermal cycling and real time conductometric measurement of the deposited oxide films. In air devoid of reducing analyte, the application of short, high temperature (500°C, 5 ms) heating pulses results in the generation of surface bound O- from surface adsorbed O2, and as a consequence a tin oxide film that is both highly resistive and highly sensitive to reducing gases. Exposure of such a film to trace concentrations of reducing gas results in large conductometric changes (from 1x10-7 Ω-1 in dry air to 2.5x10-4 Ω-1 in 10 ppm MeOH; measured at 25 °C). In the presence of reducing gases, the heating pulses activate the titration of the surface bound O- by the reducing species. Modulating the period between heating pulses from 25 ms to 500 ms reveals an increase in room temperature conductance, which is interpreted in terms of the adsorption dynamics of the reducing species. Results on a number of reducing gases show conductance vs. period profiles, which may be explained in terms of both molecular adsorption dynamics and stoichiometric capacity for reduction. These results provide a basis for analyte recognition and a level of mechanistic insight about interfacial transduction processes.
SS-TuP-3 A Novel Study using XANES Spectroscopy, XPEEM, and Nanoindentation Techniques to Correlate the Microchemistry and Nanomechanical Properties of Zinc-dialkyldithiophosphate Tribofilms on Steel Surfaces
M.A. Nicholls, P.R. Norton, M. Kasrai, G.M. Bancroft (The University of Western Ontario, Canada); T. Do (Atomic Energy of Canada); G. De Stasio (The University of Wisconsin, Madison)
Additives with special functions have long been components of engine oils, designed to improve the performance and prolong the life of an engine. One particular additive, zinc-dialkyl-dithiophosphate (ZDDP) has been added to improve the lifespan of an engine by reducing wear at high pressure contacts. ZDDPs have been found to break down under the extreme conditions in an engine and produce products that, under high pressure and temperature, form a sacrificial polyphosphate film that reduces wear. This film has been studied thoroughly for decades, but the origins of its effectiveness still remain a mystery. Advanced synchrotron radiation techniques such as X-ray absorption near edge structure (XANES) spectroscopy and X-ray photoelectron emission microscopy (XPEEM), are now providing the detailed chemical and spatially resolved chemical analysis required to help understand how these films form. In combination with nanoindentation techniques, it is now possible to measure the chemical and nanomechanical properties of these films on the same length scales. We describe how XANES can determine the polyphosphate chain-length in a film, and also illustrate the interaction of ZDDP decomposition products with steel surfaces. Further, XPEEM and nanoindentation have been used to correlate, for the first time, the spatially-resolved chemistry and mechanical properties of the same, selected regions of an antiwear film at a resolution of approximately 200 nm.
SS-TuP-4 Monosaccharide Adsorption on Hydrophobic and Hydrophilic Surfaces
J.W. Clemens, M.A. Cobleigh, K.T. Queeney (Smith College)
The adsorption of encapsulated bacteria to both natural and synthetic surfaces is governed by extracellular polysaccharides. In order to gain insight into the interactions of these large starch molecules with such surfaces, and specifically to separate out effects of their primary and secondary structure, we have undertaken a comprehensive study of the adsorption properties of the constituent monosaccharides of xanthan gum, a model bacterial polysaccharide. A combination of surface infrared spectroscopy and atomic force microscopy is used to investigate the adsorption properties of glucose, mannose and glucuronic acid on silicon and functionalized silicon surfaces. Access to the full mid-IR frequency range allows us to analyze both the OH-stretching region, in order to probe the role of hydrogen-bonding in adsorption, and the fingerprint region of the molecules, which is more sensitive to configurational changes in the molecules upon adsorption. Combining this information with AFM images allows us to correlate spectroscopic signatures associated with sugar-surface and sugar-sugar interactions.
SS-TuP-5 Effect of Multidirection Ion Beam Treatment and Alignment Property of Nematic Liquid Crystal
S.J. Rho, H.J. Ahn, K.C. Kim, H.K. Baik, J.Y. Hwang, Y.M. Jo, C.J. Park, D.S. Seo (Yonsei University, South Korea); K.M. Song (Konkuk University, South Korea); S.J. Lee (Kyungsung University, South Korea)
We have investigated the alignment properties of liquid crystals induced by multi- directional ion beam irradiation on the a-C:H flims. Ion beam treatment of alignment layer is the new method which does not contact with alignment layer and prevent from debris or electrostatic discharge. Amorphous carbon (a-C:H) films for alignment layer were deposited by remote plasma enhanced chemical vapor deposition and were modified by an Ar ion beam at an films. For the multi-domain of LCD, the direction of ion beam exposure was changed about 0deg, 90deg, 180deg, 270deg and at each step, ion beam is overlapped It is experimentally found that the aligning direction of the liquid crystal depend on the final irradiating direction of ion beam and by these results, we analyzed the structural transition of a-C:H layer after ion beam irradiation. Raman, IR, and AFM data were used for analysis of theses phenomena. Also we prove the merit of ion beam method, which decrease the masking step for multi-domain of LCD manufacturing.
SS-TuP-6 Dynamic Mechanical Analyses of Polymers
T.J. Mullen (U.S. Naval Research Laboratory); S.A. Syed Asif (Hysitron, Inc.); K.J. Wahl (U.S. Naval Research Laboratory)
Thin polymer films are of considerable interest for applications in electronics packaging, biomedical devices, MEMS devices, lubrication, antifouling and adhesives. However, evaluating the mechanical properties of polymer thin films is difficult due to the low elastic moduli and substrate influence. In this paper, we present an approach for measuring the dynamic mechanical properties of thin, compliant polymer films using AC force-modulation coupled with a hybrid scanning indenter. This combination allows surface sensitive, quantitative mechanical properties measurements at a single point as well as while scanning. Dynamic response of the indenter is monitored during tip-sample approach, enabling sensitive detection of the surface, and during contact for evaluation of storage and loss moduli of polymer samples. Examples of dynamic mechanical properties analyses using force-displacement curves, frequency sweeps, and imaging will be presented for polyethylene, poly(dimethylsiloxane) (PDMS) and other polymer surfaces.
SS-TuP-7 Patterning and Selective Metallization of Polymer Films Bearing Chloromethylphenyl Groups
W.J. Dressick, M.-S. Chen, T.L. Schull, S.L. Brandow (Naval Research Laboratory)
The ability to spatially control polymer surface reactivity without affecting bulk properties for the selective deposition of materials, such as metals, ceramics, or other chemical and biological species, is critically important for microelectronics, optics, and sensor technologies. We have been investigating the top-surface imaging of thin polymer films containing surface chloromethylphenyl groups to address this challenge. Recently we demonstrated that solvent-templated nanocavities could be formed in chloromethylphenyl-based films that are capable of non-covalently binding reactive adsorbates. Exclusion of the adsorbate from aqueous solution and binding within the film nanocavity are driven by favorable hydrophobic interactions between the adsorbate and the aromatic residues of the polymer film. Spatial control of adsorbate entrapment is demonstrated using a variety of patterning tools (e.g., microcontact printing, deep UV, proximity x-ray, high-energy e-beam, and low voltage scanning tunneling microscope) to selectively inhibit entrapment through chemical modification of the films or displacement of entrapped adsorbate from film nanocavities. Spatial control of adsorbate binding allows the subsequent selective deposition of Pd-based catalytic nanoparticles of controlled size for electroless metal deposition. Fabrication of nanoscale structures in metal with good control of feature critical dimensions is demonstrated. Details of the largely aqueous based process will be presented and factors contributing to feature critical dimensions and optimization of ligand physisorption will be discussed.
SS-TuP-8 Surface Lattice Dynamics of KCl(001) by Helium Atom Scattering
R. Fatema (Florida State University); F.A. Flaherty (Valdosta State University); S.A. Safron, J.G. Skofronick, D.H. Van Winkle (Florida State University)
High-resolution helium atom scattering (HAS) has been employed to investigate the surface lattice dynamics of the KCl(001) surface, produced by cleaving a single crystal sample in ultra high vacuum (UHV). Several branches of the surface phonon dispersion have been mapped out in the <100> and <110> high symmetry directions, across the surface Brillouin zone (SBZ). These include the low-energy Rayleigh wave, a "crossing mode" which increases in energy from the SBZ boundary to the zone center, and optical modes which appear dispersionless across the SBZ. These results are compared with "shell model" calculations and with experimental results, which were reported previously. The implications of these results for the use of KCl(001) as a substrate for films of soft materials is also discussed.
SS-TuP-9 The Effect of Water Vapor on the Surface Composition of Alkali Halides
S. Ghosal (University of California, Irvine); F.G. Requejo (University of La Plata and CONICET., Argentina); D.F. Ogletree, M. Salmeron (Lawrence Berkeley National Laboratory); J.C. Hemminger (University of California, Irvine)
We present here results from our studies on the aqueous ionic solvation of alkali halide surfaces. Using a novel high pressure X-ray Photoelectron Spectroscopy (XPS) system based on the ALS synchrotron radiation source we have for the first time made dire ct experimental measurements of the surface composition of alkali halide crystals while in contact with water vapor pressures approaching and at the point of dissolution. The salt crystals were cleaved in vacuum and then analyzed by XPS as the water vapor pressure was increased. Our results show that the anion/cation ratio at the interfacial region initially decreases with increasing water vapor pressure. At higher water vapor pressures, approaching the dissolution point of the salt, the surface compositi on behavior varies depending on the particular salt. These results are further supported by Scanning Polarization Force Microscopy experiments. The primary focus of the study was to determine the structure and composition of the interfacial region between the salt and air as a function of water vapor exposure. This is of particular interest since the solid/vapor interfacial composition of salt solutions and salt particles has implications for heterogeneous atmospheric chemistry involving sea salt aerosols.1


1Finlayson-Pitts, B. J.; Hemminger, J. C. J. Phys. Chem. 2000, 104(49), 11463.

SS-TuP-10 Secondary Phases on Oxide Surfaces
C.B. Carter, S.R. Gilliss (University of Minnesota)
The wetting of a ceramic surface by a secondary phase is a technologically relevant problem that is encountered under many situations. Processing of ceramics using liquid-phase sintering relies on the wetting of the ceramic powder compact by a lower melting additive. The additive may be a non-metallic glassy phase, as in oxide ceramics, or can be a liquid metal as in the case of Co additives for WC ceramics. The wetting of ceramics by liquid metals is also encountered in joining applications like active metal brazing. Wetting and dewetting of liquid metals and glasses on ceramic substrates has been investigated using a combination of microscopy techniques. The influence of surface structure on dewetting behavior and the influence of the dewet droplets on the morphology of surface steps has been shown with the aid of experimental observations from many systems. The role of chemistry and kinetics on the wetting behavior will also be discussed. The manner in which the secondary phase wets the crystalline ceramic at processing temperatures dictates the microstructure and therefore the properties of the material. Model systems of anorthite and sapphire and silica and rutile will be emphasized. Thin films (100 nm) of the secondary-phase (anorthite or silica) are deposited onto a single-crystal substrate of sapphire or rutile by pulsed-laser deposition. The specimens are then heat-treated in air at high temperature (1400°C-1650°C). At high-temperature and during cooling steps and facets form on the oxide surface and the secondary-phase may dewet or continue to wet the surface. The effect of the secondary-phase on the kinetics of step/facet formation and an analysis of the wetting behavior will be presented. The degree of dissolution and reprecipitation of the substrate material within the secondary phase has been monitored by X-ray energy dispersive spectroscopy and electron energy-loss spectroscopy and will be discussed.
SS-TuP-11 Evidence of Surface Pre-melting of Bi Ultrathin Film on Si(111)
S. Yaginuma (Tohoku University, Japan); T. Nagao (Tohoku University and PRESTO, JST, Japan); J.T. Sadowski, Y. Fujikawa, T. Sakurai (Tohoku University, Japan)
Semimetal bismuth has attracted much attention because of its various unique transport properties. Recently, we have successfully fabricated high-quality Bi (001) films on the Si (111)-7x7 substrate. The Bi (001)/Si (111) system self-organized into an atomically flat single crystal Bi (001) film, experiencing the unique orientation flipping from interconnected close-packed Bi {012} films to the two-dimensional (2D) Bi (001) films, which then grew into a nearly perfect uniform film by layer by layer growth. Temperature-dependent spot-profile-analysis low-energy electron diffraction (SPA-LEED) study revealed that suitable annealing of the as-deposited Bi (001) films resulted in an increase of the peak intensity and a change in the spot profile, marked improvement in the crystallinity and surface roughness. The resulting surface was ideally flat over the ~100 nm range. With this ideal 2D system, we further performed the systematic SPA-LEED experiment as a function of annealing temperature and estimated the surface Debye temperature to be approximately 90 K. In addition to the expected Debye-Waller decrease, a steeper decrease in the spot intensity was observed above 350 K in a reversible manner without hysteresis. Since the separate in-situ STM observations have clarified the decrease in step density, this anomalous thermal behavior of the diffraction peak intensity is attributed to the surface pre-melting instead of the surface roughening. By fitting the data with the logarithmic growth law, the correlation length of this phase transition was determined within the length of one bilayer. Negligible thickness dependence reflects the layered structure of Bi with mobile bilayer stacking. A possible mechanism of the surface pre-melting of the Bi (001) films will be discussed.
SS-TuP-12 Crater Wall Shape Evolution During Annealing Induced Flattening of Si(001)
B.J. Gibbons, J.P. Pelz (The Ohio State University)
We have studied high temperature annealing induced flattening of large (up to 50 µm2) "craters", formed using a new double wet thermal oxidation process on silicon (001). This process was used to eliminate the initial "trenching" around the perimeter of the crater floor that can occur during normal dry etching procedures. It has been suggested that such trenching can interfere/delay the process of flattening.1 Surprisingly, we have found that trenches are formed during extended annealing even if not initially present, as well as a "peak" around the upper crater wall perimeter. Above the roughening temperature (~ 1200 °C for Si(001)) the profile of the crater wall can be described using a continuum model.2 For temperatures below roughening the trench and peak features can be qualitatively described using microscopic step models,3 although a good quantitative description has not yet been achieved. To date we are able to remove the initial sample miscut over an area of up to 30 x 40 µm2, leaving behind a region with concentric closed steps formed by pit nucleation.4 We will discuss the optimum conditions for creating large flat regions "blindly", as well as ongoing electromigration experiments in the presence of a Si flux.


1Tanaka, et al., Appl. Phys. Lett., 69(9), 26 Aug. 1996
2W.W. Mullins, J. Appl. Phys. 28(3), Mar 1957
3Liu, et al., J. Vac. Sci. Technol. B 14(4), Jul/Aug 1996)
4J.-F. Nielsen, et al., Phys. Rev. Lett. 87(13), 24 Sep. 2001

SS-TuP-13 Structure-optimized CoSi2-buried-metal-layer Substrates for IRRAS Fabricated by Wafer-bonding
S. Yamamura (The Graduate University for Advanced Studies, Japan); S. Yamauchi (DENSO Research Laboratories, Japan); S. Watanabe (Fujitsu Laboratories Ltd., Japan); T. Urisu (Institute for Molecular Science, Japan)
The conventional IRRAS (infrared reflection absorption spectroscopy) is applicable only for the metal substrates. So, the IRRAS using buried metal layer (BML) substrates has been developed to apply this technique to the semiconductor surfaces. To obtain high sensitivity in BML-IRRAS, it is essentially important to control the top Si layer thickness less than 200 nm. In this work, we have successfully fabricated a BML substrate with 200 nm thick top Si layer by wafer-bonding for the first time using a SOI wafer having controlled thickness (260 nm) of Si layer. Comparing with the ion implantation method, the wafer bonding method has advantages of (1) atomically flat top Si surface, and (2) unnecessariness of epitaxial growth process, which is essentially required in the ion implantation method to remove the ion implantation damage. The fabrication process is as follows; (1) overlapping of a Co (~ 200 nm thickness) deposited Si wafer on the SOI wafer with face to face, (2) annealing at 800°C for 30 min under N2 atmosphere, (3) polishing of the back side Si layer of SOI to ~ 100 nm, followed by complete removal by 10 % KOH solution etching at 70°C for 3 ~ 4 h, and (4) the residual top SiO2 layer was removed by etching using 2.5 % HF solution, and the Si(100)/CoSi2/base Si(100) BML substrate was obtained. The preliminary formation of thin (100 nm) SiO2 layer on the SOI surface was effective to reduce the interface roughness between the top Si and the CoSi2 layers. The self-assembled alkyl monolayer was deposited on the BML substrate, and its IRRAS was observed in the wide frequency range from stretching to bending regions.
SS-TuP-14 Relating Polarization to Optical Absorption and Ablation of Silicon/Silicon Oxide Surfaces
Y.N. Picard, H. Liu, J.C. Pentland, J.P. MacDonald, J. Nees, G. Mourou, S.M. Yalisove (University of Michigan, Ann Arbor)
A significant benefit of machining materials using lasers with pulse lengths on the order of femtoseconds, rather than nanoseconds or picoseconds, is the reduced size of the damage region associated with the machined areas. However, depth of absorption, absorption mechanisms, and nano-scaled modifications of the near surface are still not fully understood and characterized when machining at or just below the ablation theshold of the material using femtosecond lasers. The direction of the laser electric-field vector relative to the sample surface is estimated to be directly proportional to the magnitude of optical absorption, and as a consequence, the ablation threhold of the material. Our recent studies have demonstrated a factor of 3 difference in the estimated ablation threshold for S versus P polarization (referenced to the sample surface plane) when machining silicon at grazing incidence (86°) in air. We extend these studies to grazing incidence machining of silicon with no native oxide on the surface as well as silicon with different oxide thickness, all under vacuum. We use a Ti:sapphire laser with 800 nm wavelength, 120 femtosecond pulses to irradiate the surface at both S and P polarizations. The intensity of the laser irradiation ranges from below to above the ablation threshold for silicon (~200mJ/cm2). We present results from clean Si (native oxide removed by HF etching or Shiraki method) as well as those from Si with a native oxide and thermally grown oxide layers. Samples are machined inside a vacuum chamber capable of reaching a pressure of 10-11 Torr. For silicon samples with no oxide present on the surface, surface structure during irradiation is monitored using reflected high energy electron diffraction (RHEED). SEM and AFM results show differences in the size and morphology of the damage region, which are then correlated to the ablation threshold.
SS-TuP-15 Controlling Silicon Surface Morphology with Aqueous Etching: The Surprising Effect of Barriers
H. Bao, S.P. Garcia, M.A. Hines (Cornell University)
For reasons that are not completely understood, nanoscale surface morphology can influence the electronic, chemical and mechanical properties of a variety of devices. Thus, the ability to fabricate atomically smooth micron-scale regions of silicon would b e beneficial to many technologies. In this research, we use chemical etching to force the atomic height steps on a vicinal surface bunch into "macrosteps" -- micron-high macroscopic steps that separate terraces of near-atomic flatness. Interestingly, we f ind that the orientation of the macrosteps does not always correspond to the vicinal step direction. Instead, the orientation of the macrosteps can be controlled by lithographically patterned etch barriers. A variety of surface morphologies created by the barriers are presented and characterized by a combination of optical microscopy, scanning electron microscopy (SEM) and atomic force microscopy (AFM). In some cases, the patterned barriers lead to large, nearly atomically flat regions. In other cases, macrosteps are curved and oriented by the barrier structure.
SS-TuP-16 Low-Dimensional Plasmons in a Metallic Strip Monolayer on a Semiconductor Surface
T. Inaoka (Iwate University, Japan)
The Si(111)-√3x√3-Ag surface can be formed by depositing one monolayer of Ag atoms on a Si(111)-7x7 surface. One of the surface-state bands at this surface provides an ideal two-dimensional system of conduction electrons (2DES). The 2DES is confined in a √3x√3-Ag domain surrounded by atomic steps or out-of-phase boundaries. In this work, we consider a strip domain with finite width and infinite length. By means of the time-dependent local-density approximation, we investigate low-dimensional plasmons (LDPLs) in a metallic strip monolayer on the semiconductor surface, namely, LDPLs in a 2DES confined in a strip region. We analyze the energy-loss intensity, the energy dispersion, and the induced charge distribution of the two plasmon modes at each wave number q along the strip. When wavelength λ (= 2π/q) of the mode is considerably smaller than the strip width D, the higher-energy mode (HEM) has its induced charges extending widely in an interior region, showing a definite character of the area plasmon (APL). Its energy is quite close to that of the two-dimensional plasmon in an infinite area (pure 2DPL). However, as the mode energy deviates upward from that of the pure 2DPL with increase in λ, the induced charge distribution of the APL evolves into a standing-wave pattern with its free end at the edge. In contrast, the lower-energy mode (LEM) has its induced charges localized near the strip edge, exhibiting a definite character of the edge plasmon. When λ is small compared with D, the induced charge density of the LEM decays slowly on the inside of the strip owing to the influence of the HEM (APL) close to the LEM in energy. At larger λ values, the quick attenuation of the induced charge density inside the strip involves conspicuous oscillations due to the interference of electronic waves impinging on and reflected from the edge.
SS-TuP-17 Conductance Switching of Single Molecules in Alkanethiolate Self Assembled Monolayers
A.M. Moore, Z.J. Donhauser (The Pennsylvania State University); J.M. Tour (Rice University); P.S. Weiss (The Pennsylvania State University)
Phenylene ethynylene oligomers (OPE) have been studied as candidates for molecular electronic devices using scanning tunneling microscopy (STM). These molecules were inserted into host alkanethiolate self-assembled monolayers (SAMs) for isolation and individual addressability. OPE molecules were probed using STM and exhibited reversible conductance switching, which is observed as a change in the topographic height of the molecule in the STM images. The rate of active switching has been shown to be mediated by the structure of the host matrix. Using shorter chain alkanethiolate SAMs we have shown multistate switching of these molecules and have suggested molecular motion changing the molecule to substrate contact conductance. Analysis of this switching mechanism has involved changing functionality, bonding, size and rotational freedom of the molecules under study.
SS-TuP-18 The Interaction of Water with Oligo(Ethylene Glycol)-Terminated Self-Assembled Monolayers on Gold and Silver Investigated by IR and Vibrational Sum-Frequency Spectroscopy
R.-Y. Wang, M. Himmelhaus, J. Fick, S. Herrwerth, W. Eck, M. Grunze (University of Heidelberg, Germany)
Oligo(ethylene glycol)-terminated self-assembled monolayers (SAMs) have been the topic of intense research over the last years, due their unique protein repulsion properties.1,2 Recently, in particular, the structure of the films in contact to aqueous solutions has been in the focus of interest.3,4 In the present study, we used infrared reflection absorption spectroscopy (IRRAS) and vibrational sum-frequency spectroscopy (VSF) to investigate water-induced structural changes in methyl (1-mercaptoundec-11-yl) hexa (ethylene glycol)- terminated alkanethiol (EG6OMe) monolayers self-assembled on gold and silver. The spectra were recorded right after preparation of the films and after their exposure to water. In the case of gold as a substrate, the layers were also investigated during water contact. The spectra show that water causes pronounced disorder in the EG6OMe monolayers, which is only partially reversible after drying of the films under vacuum conditions.


1 K. L. Prime and G. M. Whitesides, J. Am. Chem. Soc., 115, 10714,1993
2P. Harder, M. Grunze, R. Dahint, G. M. Whitesides, and P.E. Laibinis, J. Phys. Chem. B102, 426-436, 1998
3M. Zolk, F. Eisert, J. Pipper, S. Herrwerth, W. Eck, M. Buck, and M. Grunze, Langmuir, 16,5849-5852,2000
4D. Schwendel, T. Hayashi, R. Dahint, A. Pertsin, M. Grunze, R. Steitz, F. Schreiber, Langmuir 19, 2284, 2003.

SS-TuP-19 Switching Dynamics of Ladder Molecules in Low Defect Self Assembled Monolayers
A.A. Dameron (The Pennsylvania State University); J.W. Ciszek, J.M. Tour (Rice University); P.S. Weiss (The Pennsylvania State University)
We have fabricated 1-adamantanethiolate self assembled monolayers (SAMs) on Au(111) and characterized them with scanning tunneling micrsocopy.1 Adamantanethiol molecules have a bulky cage structure and orient in both fcc and hcp packing structures. The adamantanethiolate SAMs display fewer defect sites and less prominent domain boundaries than alkanethiolate SAMs. The switching dynamics of 2-thioacetylphenanthrene ("ladder molecules") and 4-thioacetyl-biphenyl molecules were studied by insertion of the molecules into both adamantanethiolate and short chain alkanethiolate SAMs. The switching dynamics in the two SAMs are similar; in both cases the molecules insert primarily into the defect sites in the monolayer and display switching between two states.


1 L. F. Charles, M. S. Thesis, The Pennsylvania State University (1999).

SS-TuP-20 Photodegradation Phenomena of Si-C Linked Monolayer Induced by 126, 172 and 254 nm Ultraviolet Light
K. Oda (Waseda University, Japan); N. Shirahata (National Institute of Advanced Industrial Science and Technology, Japan); S. Asakura, A. Fuwa (Waseda University, Japan); Y. Yokogawa, T. Kameyama, A. Hozumi (National Institute of Advanced Industrial Science and Technology, Japan)
Micropatterned Si-C linked monolayers have recently attracted attention for their use as templates to fabricate electronic- and bio-devices in micro~nanometer-scale. Among numerous approaches, photolithography is one of the most promising techniques in order to design and fabricate the microtemplates arbitrarily. However, there have no papers describing photodegradation mechanism of Si-C linked monolayers. In order to optimize the micropatterning of the monolayers, further understanding of the photochemistries which proceed when the monolayers are exposed to various kinds of ultraviolet (UV) light sources is vital. In this study, we report the photodecomposition of a Si-C linked monolayer of 1-octadecene (ODM) induced by three types of UV light sources, i.e., 126 and 172 nm vacuum UV (VUV), and conventional 254 nm UV light. This ODM was attached to Si substrate terminated with hydrogen (Si-H) through Si-C bond. As control experiments, we have similarly examined the photodegradation of a self-assembled monolayer (SAM) of n-octadecyltrimethoxysilane (ODS) formed onto Si substrate covered with native oxide (SiO2/Si) through Si-O-Si bond. Due to the formation of ODM and ODS-SAM, the Si-H and SiO2/Si substrates became highly hydrophobic with their water-contact angles changing from about 80 and <5 ° to 110°, respectively. After 254 nm UV-irradiation for the appropriate period in air, the hydrophobic surfaces became completely hydrophilic one. However, photodecomposition rates of these monolayers were quite different, although our monolayers thicknesses were nearly identical. The rate of ODM was found to be about one-sixth as fast as that of ODS-SAM. This marked difference in photodecomposition rate may be responsible for the nature of the Si-C bonds, that is, the difference in electron distribution in the bonds, in ODM and ODS-SAM.
SS-TuP-22 Atomic Structure of InGaAs Surfaces
A. Riposan, J. Mirecki Millunchick, B.J. Dall, C.A. Pearson, B.G. Orr (University of Michigan)
The atomic structure of compound semiconductor alloy surfaces is important for heteroepitaxial growth, as it has an impact on the subsequent microstructure of the film. We examined the morphology and surface reconstruction of InxGa1-xAs alloy layers during growth and after annealing. Films of different compositions were grown by molecular beam eptiaxy on GaAs and InP (001) substrates to thicknesses less than the critical thickness for 3D islanding, and examined using in-situ Scanning Tunneling Microscopy (STM). The surface reconstruction of lattice matched In0.53Ga0.47As/InP alloys is highly disordered; nearly 25% of the surface is covered with a 4x3 reconstruction and 15% with a c(3x4). Models developed based on the STM data suggest that these reconstructions are terminated by both cation and anion dimers. The surface reconstruction during the growth of alloys under compressive misfit strain was 2x3 according to reflection high energy electron diffraction. However, STM shows that the surfaces are covered with a number of differently reconstructed domains. The majority of the surface of In0.27Ga0.73As/GaAs layers is covered by a disordered c(3x4), while 34% of the surface consists of short segments of α2(2x4) with in the terrace. The reconstruction of In0.81Ga0.19As/InP surfaces, which have the same amount of lattice mismatch, consists of β2(2x4) regions resting upon an underlying 4x3. The coverage of the β2(2x4) decreases from 50% to 34% after a 25 minute anneal at the growth conditions. This behavior is consistent with the decrease of the surface In concentration during annealing, suggesting that the β2(2x4) regions on this surface are related to In surface segregation.
SS-TuP-23 Low Energy Li Ion Scattering from Iodine Adatoms on Si and SiO2 Surfaces
Y. Yang, J.A. Yarmoff (University of California, Riverside)
We recently showed that the neutralization of alkali ions backscattered from halogens adsorbed on metal surfaces depends sensitively on the internal polarization of the adatom. Charge-resolved time-of-flight spectra collected from backscattered 7Li+ ions display consistently larger neutral fractions for scattering from the halogen adatoms than that from the substrate. An increased neutral fraction indicates that the potential at the halogen site is reduced from that of the substrate, which is surprising considering that the halogen adatom is overall negatively charged. We thus propose that there is a region of positive charge at the very top of the adatom, which is consistent with the results of density functional theory. The positive region is presumably formed as the electron charge is attracted to its image in the metal substrate. This internal electronic structure of the halogen adatom was verified by measurements of the angular dependence of the neutralization. The neutral fraction of Li scattered from the halogens decreases as the exit beam moves off-normal, demonstrating that the charge associated with the adsorbate is non-uniform and that ion scattering is sensitive to this internal structure. Surprisingly, we found the same behavior for iodine adsorbed on Si surfaces, although the image charge in the substrate and the consequent charge rearrangement might be expected to be weaker. This implies that the iodine adatoms above a Si substrate are internally polarized. We are currently exploring the role of the substrate by investigating the neutralization of alkali ions scattered from Si wafers that are oxidized in situ prior to iodine exposure. This will allow us to determine how the conductivity of the substrate is involved in facilitating the internal charge rearrangement.
SS-TuP-24 Chemistry of Bifunctional Nitro Group-containing Molecules on Silicon: a Cryogenic Approach to Selective Self-assembly in Vacuum
S. Bocharov, A.V. Teplyakov (University of Delaware)
Surface chemistry of alkyl and aryl compounds containing nitro-group on Si(100)-2x1 has been investigated using multiple internal reflection Fourier-transform infrared spectroscopy, Auger electron spectroscopy, and temperature-programmed desorption/reaction mass spectrometry. Despite theoretical prediction for essentially barrierless interaction of nitromethane with this silicon surface, all the nitroderivatives studied physisorb molecularly within a monolayer coverage on Si(100)-2x1 at 95 K. Surface chemical reaction involving nitro-group occurs around 140 K regardless of the nature of the alkyl/aryl substituent studied. Thus, a significant energy barrier, approximately 8 kcal/mol, is established for the interaction of these molecules with the Si(100)-2x1 surface. An opportunity of selective self-assembly using the advantage of cryogenic conditions to control the kinetics of surface reactions will be discussed. Further surface annealing studies suggest that all nitrogen and oxygen atoms remain on a surface even at the temperatures as high as 900 K, while some carbon is removed as a corresponding hydrocarbon molecule.
SS-TuP-25 Adsorption and Reaction of Amines on Germanium and Silicon Surfaces
P. Prayongpan, C.M. Greenlief (University of Missouri, Columbia)
The bonding and structure of organic molecules with semiconductor surfaces is important for a variety of potential applications. This work focuses on the interaction between small organic molecules; ethylamine and allylamine, with Si(100)-2x1 and Ge(100)-2x1 surfaces. Ab initio calculations are used to investigate the transition states and predicted adsorption products for the reactions between the organic molecules and a dimer cluster model of the semiconductor surfaces. The cluster models include Si9H12, Ge9H12, and a mixed cluster; Ge2Si7H12. Ultraviolet and x-ray photoelectron spectroscopy are used to examine the chemical bonding of the adsorbed products. This work will examine the effect of electron donating organic molecules with semiconductor surfaces. The selectivity and reactivity of functional groups in the surfaces will be described. According to our recent experimental and theoretical studies, the adsorption products for the interaction between amine molecules with Si(100)-2x1 and Ge(100)-2x1 surfaces are temperature dependent.
SS-TuP-26 Adsorption of 1,3-butadiene on Si(001) 2x1 Surface
J.Y. Baik (Korea Research Institute of Chemical Technology and Sungkyunkwan University, Korea); H.-N. Hwang, K.-S. An (Korea Research Institute of Chemical Technology); E.S. Cho (Sungkyunkwan University, Korea); K.J. Kong, Y. Kim (Korea Research Institute of Chemical Technology); J.-Y. Park (Sungkyunkwan University, Korea)
Recently unsaturated organic molecules playing a role of multifunctional layer adsorbed on Si(001)2x1 surface has come into the focus of research in semiconductor fabrication and semiconductor devices. The well-known unstable π bond of up and down dimer atoms of Si(001)2x1 surface, ethylene-like(C2H4), is reactive with the unsaturated organic molecule through charge transfer in order to minimize the total energy on the surface. 1,3-butadiene(C4H6) among these molecules leads to the [4+2] reaction forming the organic molecule/Si hybrid of six-membered cycle with the Si dimer even at room temperature. And also, it was reported that the [2+2] reaction could occur at less possibility than the [4+2] reaction. In this study, the adsorption and thermal behaviors of 1,3-butadiene on the Si(001)2x1 surface were investigated by high-resolution photoelectron spectroscopy(HRPES), scanning tunneling microscopy(STM) and thermal desorption spectroscopy(TDS) with theoretical calculations. In STM images, three different-typed adsorptions were observed and well identified as the [4+2], the [2+2], and the end-edge bridge reaction by the STM simulation. For C 1s core level spectrum reported as only one asymmetric component, despite of two kinds of quite different chemical surroundings, it was found that the broad spectrum consisted of two components with abnormally small core level shift based on the calculation. In addition, TDS shows successive desorption of 1,3-butadiene and acetylene molecules with increasing the substrate temperature, different to previous reported hydrogen desorption by C-H bond breaking, without acetylene desorption.
SS-TuP-27 Adsorption and Thermal Decomposition Reaction of Vinylacetic Acid on Si(100)2x1 Surface
K.-S. An, J.Y. Baik, H.-N. Hwang, Y. Kim (Korea Research Institute of Chemical Technology); C.-Y. Park (SungKyunKwan University, Korea); C.C. Hwang, B. Kim (POSTECH, Korea)
Covalent attachment chemistry of organic molecules on silicon surfaces has been of recent interest in surface science. Several molecule attachment chemistry on the Si(001)2x1 surface has been reported for organic molecules including various single and multi-functional groups such as alkene, hydroxyl, thiol, amine, and carboxyl groups, etc, which can be applied important building blocks for organic molecule/Si surface. In this study, the adsorption and thermal decomposition of vinylacetic acid (VAA) on the Si(001)2x1 surface is investigated using photoelectron spectroscopy and thermal desorption specroscopy. Vinylacetic acid is a typical monomer having both vinyl (C=C) and carboxyl groups (COOH) with alkyl chain, which can well adsorb on the Si dimer as the [2+2] cycloadditional and dissociative reaction, respectively. Curve fitting of the Si 2p core level spectra taken after VAA exposures shows the development of two new surface components, SO and SH, shifted by +0.93 and +0.40 eV, respectively, with respect to the bulk component. From the result, it is found that VAA adsorbs on the Si(001)2x1 surface, not through the cycloaddition reaction with vinyl group (C=C) but through the dissociative adsorption of RCOOH (R = CH2CHCH2) into RCOO(ad) and H(ad) without breaking the Si dimer. The dissociative adsorption is confirmed by the analysis of the C 1s and O 1s core level spectra. Based on the line-shape changes of the Si 2p, C 1s, O1s core level spectra and TDS measurement with increasing the substrate temperature after the VAA saturation, the thermal decomposition and desorption behaviors of the VAA molecules are also investigated.
SS-TuP-28 Plasmons in Ag Ultrathin Films on Si(111) Studied by Energy Loss Spectroscopy with High Momentum Resolution
T. Nagao (Tohoku University and PRESTO, JST, Japan); S. Yaginuma, T. Sakurai (Tohoku University, Japan)
Self-organized metallic overlayers deposited on semiconducting substrates constitute an interesting class of materials since one can explore a variety of physics related to low dimensionality and size effects. The collective behavior in electron systems (plasma oscillation, or plasmon) confined in atomically thin region is expected to be very different from plasmons in three-dimension. In the present talk, we report on the thickness dependence of the plasmon dispersion curve of several- monolayers-thick Ag films supported on Si(111), by use of high-momentum-resolution HREELS. The films were prepared by "electronic growth" scheme with lateral grain size larger than 70 nm characterized by the spot profile analysis using the spectrometer in high-resolution LEED mode. In addition to the surface plasmon peak, a steeply dispersing peak was observed around the (00) Bragg spot, which rapidly decays in intensity and broadens in a very narrow momentum window of 0.04 [1/A] as a function of momentum transfer. The energy dispersion curve of this mode was explained in terms of a quasi-2D mode of thin film plasmon and its loss energy approaches the value of 1900eV which is close to the interfacial plasmon mode at the semiinfinite Ag/Si system. The details of the analysis together with the development of the spectrometer will be presented. We also plan to present some data of sheet-type plasmons in surface-state bands at silicon surfaces.
SS-TuP-29 Semiconductor Surface Reconstructions of the Si(100) Surface at 5K
B. Grandidier, L. Perdigao, D. Deresmes, M. Dubois, C. Delerue, G. Allan, D. Stievenard (IEMN-CNRS, France)
The reconstruction of the Si(100) is related to the formation of dimers to lower the surface energy. Their bonding consists of a weak double bond so that the symmetric configuration is not held and give rise to buckled dimers. As several types of reconstructions have been predicted, with well defined electronic properties, we have studied the reconstructions of the Si(100) surface by scanning tunneling microscopy (STM) and spectroscopy at 5K. At low positive sample voltages, when well-ordered surfaces are prepared, two phases, the c(2x4) and the p(2x2) reconstructions, are visible. By increasing the number of surface defects, a third arrangement, the p(2x1) asymmetric reconstruction, appears. Scanning tunneling spectroscopic measurements indicate that all the surfaces are semiconductor. However at higher positive voltages or at negative voltages, the appearance of the dimers change into a symmetric features. While spectroscopic data show that the tip does not induce a modification of the surface reconstruction whatever the sample voltage and the reconstruction types of the surface, the voltage-dependent STM images are investigated by theoretical calculations for the p2x2 arrangement.
SS-TuP-30 Surface Oxidation of Silicon Blisters formed by Hydrogen Ion Irradiation
S. Igarashi, A.N. Itakura (National Institute for Materials Science, Japan Science and Technology Corporation); M. Kitajima (National Institute for Materials Science, Japan); K. Hojou (Japan Atomic Energy Research Institute)
Blister is a local protrusion of solid surface induced by gas ion irradiation. Si (100) substrate was irradiated with H+ (ion energy of 10 keV and fluence of 1x1022 ions/m2) at an angle of 30 deg. to the surface normal. The blisters of several µm in diameter at the bottom were formed. After the ion irradiation, the substrate was exposed to an O2 gas. By means of scanning Auger microscopy, we observed that the rims of the blisters have higher oxygen intensities than the flat surfaces and the tops have lower than the flats. The blistering is considered to create local stress on surface layers; the surface layers should be stretched laterally at the top of blisters and are compressed at the rim, relative to the flat surfaces. The O distribution clearly consists with the stress distribution of the surface. Our results demonstrate a patterned oxidation of Si surface applying its reactivity depending on the surface stress.
SS-TuP-32 Synthesis of a Sinter Resistant Mixed-oxide Support for Au Nanoclusters
B.K. Min, A.K. Santra, D.W. Goodman (Texas A&M University)
The synthesis of a sinter-resistant oxide support for Au clusters has been explored using scanning tunneling microscopy (STM). Nano-sized Au clusters supported on a reducible oxide such as TiO2 are active catalysts for CO oxidation; however, rapid deactivation occurs at reaction conditions due to an increase in the cluster size. To prevent this deactivation, a sinter-resistant oxide support has been synthesized by patterning oxide domains consisting of small islands of a strongly-interacting support (TiO2) on second weakly-interacting oxide (SiO2). The size of the TiO2 domains can be controlled by the amount of Ti deposited. The Au nanoclusters preferentially decorate the TiO2 domains and are resistance to sintering when exposed to elevated pressures of O2, CO, and mixtures of the two gases under reaction conditions.
SS-TuP-33 Dissociation of Methyl Iodide on the Al(111) Surface - An STM and DFT Study
S. Mezhenny (University of Pittsburgh); D.C. Sorescu (U.S. Department of Energy); P. Maksymovych, J.T. Yates, Jr. (University of Pittsburgh)
The reaction of methyl iodide (CH3I) with the Al(111) surface was studied by room temperature scanning tunneling microscopy (STM) and by first principles calculations. It was found that at 300K CH3I decomposes on the Al(111) surface forming methyl, CH3, methylidyne, CH, and adsorbed iodine. CH3 groups were observed to occupy atop sites by STM, while CH species occupy hollow sites. Total energy density functional theory calculations have shown that CH3 species occupy atop Al sites (E=45.3 kcal/mol), CH species adsorb on fcc hollow sites (E=155.0 kcal/mol), while individual iodine atoms can bind on both top or hollow sites with adsorption energies 54-56 kcal/mol.
Time Period TuP Sessions | Topic SS Sessions | Time Periods | Topics | AVS2003 Schedule