AVS2002 Session PS+TF-WeP: Plasma Etching & Deposition

Wednesday, November 6, 2002 11:00 AM in Room Exhibit Hall B2

Wednesday Morning

Time Period WeP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2002 Schedule

PS+TF-WeP-1 Expanding Thermal Plasma Deposition of UV Filters and Abrasion Resistant Coatings
C.D. Iacovangelo, M. Schaepkens (General Electric Global Research Center)
Use of plastics in large area applications such as automotive glazing require deposition of abrasion resistant and inorganic UV filter coatings for protection. These coatings must be hydrolytically stable and weather able. We have developed expanding thermal plasma (ETP) deposition processes for high rate, large area deposition of ZnO and doped-ZnO UV filters and organo-silicon based inter-layers and abrasion resistant layers on polycarbonate (PC) substrates. This paper will describe the ETP processes used to deposit these materials and the effect of processing parameters on the deposition rate, UV absorbency, hydrolytic stability and weather ability of these materials and multi-layer packages. ZnO was deposited from diethylzinc, dimethlyzinc, and by thermal evaporation of metallic Zn into the ETP Ar/Ox jet. Abrasion resistant coatings and inter-layers were formed from TMDSO, HMDSO, and D4. Using these processes, highly stable, weather able coatings at deposition rates of 20 microns/minute on PC substrates were obtained. Processing parameters, degree of doping, and precursor selection were critical to achieving ZnO with high UV absorbency and hydrolytic stability. ZnO coatings of 0.5 microns thick provided UV absorbency at 350 nm of 4.0. ZnO coatings from metallic zinc were crystalline and resulted in superior stability to deposits from either diethyl or dimethylzinc. Highly abrasion resistant coatings, Taber abrasion of 2 at 1000 cycles, were obtained with all of the organo-silicone materials examined, however, UV absorbency of the coatings limit the practical application of all but D4. Equivalent 10-year life of multi-layer packages has been demonstrated in accelerated weathering tests.
PS+TF-WeP-2 Tuning the Material and Electrical Characteristics of ZrO2 Film Obtained by Plasma Enhanced Chemical Vapor Deposition
B. Cho, J.P. Chang (University of California, Los Angeles)
ZrO2 was investigated as a dielectric to replace SiO2 for dynamic random memory (DRAM) capacitor. ZrO2 films were deposited on p-Si (100) wafers by ECR-PECVD using zirconium tetra-tert-butoxide (Zr(OC4H9)4)) as an organometallic precursor, Ar to carry the precursor vapor, and O2 as oxidant. We used optical emission spectroscopy (OES), Langmuir probe, and quadrupole mass spectrometry (QMS) to characterize the gas phase. Atomic force microscopy results showed that the ZrO2 surface was very smooth with rms=1.4 Å as long as O2/Ar was set to over one. X-ray diffraction showed that the films were amorphous. X-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry indicated that stoichiometric ZrO2 film was obtained with various amount of carbon incorporation depending on the electron temperature and the O2 /Ar. We obtained a linear dependence of the carbon content determined by XPS upon the OES intensity ratio of molecular carbon and atomic oxygen. High resolution transmission electron microscopy was used to observe the interfacial layer formation between the deposited ZrO2 and the substrate Si. Fourier transform infrared spectroscopy was used to investigate the hydrocarbon composition in the film. The electrical properties of the as-deposited ZrO2 were assessed by forming Al/ZrO2/Si capacitor structures. We obtained the maximum dielectric constant of 16 at O2/Ar=1. C-V curves shifted to higher bias voltage with increasing O2 /Ar, which indicated more negative fixed charges were introduced into the film as we add more O2 in the gas phase. We observed that the leakage current density decreased drastically with increasing O2/Ar. ZrO2 film at O2/Ar=4 showed 3.3x10-6 A/cm2 at equivalent oxide thickness of 25 Å.
PS+TF-WeP-3 RF Inductively Coupled Plasma Assisted Re-sputtering Techniques for Step Coverage Control in sub 0.13µm Structures
P. Gopalraja, S. Rengarajan, J. Forster, X. Tang, R. Jauhari, U. Kelkar, A. Chan, M. Schweitzer, K. Miller, A. Bhatnagar, N. Maity, J. Van Gogh, S. Parikh, Z. Xu (Applied Materials Inc.)
A sputter deposition source has been developed that allows the bottom coverage in small structures to be made arbitrarily small, while retaining significant sidewall coverage. This ability is becoming increasingly desirable as copper based back-end metallization schemes in integrated circuits shrink to 0.13µm and below. The reduction in bottom coverage is made possible by utilizing a process, which combines deposition onto and re-sputtering of material from the wafer. The deposition occurs via a partially ionized PVD process, and the re-puttering occurs via a RF inductively coupled plasma assisted re-sputtering process. The hardware components for both deposition and re-sputtering have been integrated into a single chamber. The data presented in this paper will include simulations of plasma characteristics for the re-sputtering process for varying power and pressure conditions, and the correlation of these simulation results with the properties of the thin films deposited. Transmission electron micrographs show bottom coverage arbitrarily close to zero can be achieved in both via and dual damascene structures. Electrical testing on dual damascene structures shows that minimal bottom coverage improves via resistance, and that substantial sidewall coverage improves stress migration and electro-migration properties.
PS+TF-WeP-4 Plasma Enhanced Chemical Vapor Deposition of SiO2 Films from Tetramethylcyclotetrasiloxane and Dimethyldimethoxysilane
J. Zhang, E.R. Fisher (Colorado State University)
The deposition of SiO2 films from novel alkoxysilane/O2 and alkoxysilane/N2O plasmas has been investigated using tetramethylcyclotetrasiloxane (TMCTS) and dimethyldimethoxysilane (DMDMOS). The films were analyzed with Fourier transform infrared spectroscopy, x-ray photoelectron spectroscopy, and spectroscopic ellipsometry. For both the precursors, deposition rates and hydrocarbon incorporation in the SiO2 films decrease with addition of O2 or N2O. High quality SiO2 films can be deposited when the ratio of oxygen atom to precursor is equal or higher than the reaction stoichiometric ratios, i.e., 20:1 for TMCTS and 14:1 for DMDMOS. The effects of rf power and substrate position in the reactor on film quality are also examined. Gas phase species in these plasmas were studied with mass spectrometry and correlated with film characteristics. Moreover, with the imaging of radicals interacting with surfaces (IRIS) method, the surface reactivity of OH in these plasmas was measured as a function of O2 or N2O addition and rf power. The role of OH in deposition of SiO2 films from TMCTS and DMDMOS based plasmas will be presented and compared to previous results for OH in TEOS/O2 plasmas.1


1K. H. A. Bogart, J. P. Cushing, and E. R. Fisher, J. Phys. Chem. B, 101. 10016 (1997).

PS+TF-WeP-5 Evaluation of PFC Emission Reduction for PE-CVD Chamber Cleaning with Measurement and Simulation
E. Wani, K. Kosano, T. Sunada, S. Okura, Y. Mitsui, K. Sakai, T. Beppu (Research Institute of Innovative Technology for the Earth (RITE), Japan); A. Sekiya (National Institute of Advanced Industrial Science and Technology (AIST), Japan)
The reduction of perfluorocarbons and other fluorinated compounds (PFCs) emission from PE-CVD chamber cleaning is one of the urgently required issues in the semiconductor manufacturing for the prevention of global warming. There are mainly three approaches such as the optimization of traditional cleaning processes, the development of alternative gases and the development of alternative cleaning processes. In our recent study, COF2 has been suggested as a potential alternative cleaning gas.1 Various methods for the emission reduction have been investigated from the aspects of the alternative gas, process and tools. The process condition optimization is a common requirement to induce best performance for all these investigations. Recently, a simulation tool for the Capacitively Coupled Plasma (CCP) source has been developed and is now commercially available. In this study, the emissions from CCP of C2F6 as well as COF2 have been simulated and the results have been compared with the ones measured by Fourier transform infrared spectroscopy (FT-IR) and Quadrupole mass spectroscopy (QMS), which were equipped after the dry pump. Both results showed that CF4 emission from COF2 is less than that of C2F6. The discharge in the CVD chamber was also observed using optical emission spectroscopy (OES) and FTIR. The results have been also compared with the ones simulated. This work is supported by New Energy and Industrial Technology Development Organization (NEDO).


1 Y. Mitsui et al., ISESH 2001, June 17-21, 2001.

PS+TF-WeP-6 Eliminating the Hysteresis Effect for Reactive Sputtering Processes
T. Nyberg, S. Berg (Uppsala University, Sweden); U. Helmersson (Linkoping University, Sweden)
Despite that reactive sputtering has existed for more than 50 years, increasing the pumping speed to unrealistically high values is so far the only reported way of eliminating the hysteresis effect for planar magnetrons. The cause of the hysteresis effect is a complex interaction between target sputter erosion and the gettering of the reactive gas on the target and coated surfaces. These relations are not yet fully understood. By computer process modeling, however, we have developed a theoretical model capable of predicting the complex correlations between these involved parameters. By process modeling it is possible to carry out ”virtual processing” to predict processing results for unexplored ways of carrying out processes. By reducing the size of the target sputter erosion zone below a critical value, simulations predicted that it should be possible to completely eliminate the hysteresis. This was also experimentally verified for the reactive sputtering of Al in an argon/oxygen atmosphere. Moreover, there is almost no loss in compound deposition rate as compared to sputtering from a traditionally designed target. Notice that an increase of the total target current using a large sputtering erosion zone will not eliminate the hysteresis. The fundamental explanation to this behaviour as well as experimental verification will be presented.
PS+TF-WeP-7 In situ Measurement of C2 Radical Density in Microwave-Enhanced Methane/Hydrogen Plasma Used for Nanocrystalline Diamond Film Growth
M. Hiramatsu, K. Kato, K. Ito (Meijo University, Japan); C.H. Lau, J.S. Foord (University of Oxford, UK)
Conventional plasma-enhanced chemical vapor deposition (CVD) methods for diamond fabrication normally employ high-pressure (≥10 Torr), and methyl (CH3) radicals are generally known to be important species for diamond formation. On the other hand, nanocrystalline diamond films were grown using fullerenes in a microwave argon plasma without addition of hydrogen. It was suggested that carbon dimer (C2) radicals also might play an active role in conventional hydrogen-activated CVD. In the present work, C2 radical density at the lowest excited state was measured in an ASTeX style conventional microwave plasma reactor with a CH4/H2 mixture using absorption spectroscopy. The Xe lamp emitting a continuous spectrum was used as a light source, and transmittance spectra through the plasma ball were obtained around 516.5 nm of (v' =0, v'' =0) bandhead of C2 Swan system. Measurement was carried out under the conditions where nanocrystalline diamond films can be formed. At the typical growth conditions for the microwave plasma-enhanced CVD reactor used for nanocrystalline diamond film formation, the C2 radical density in the plasma ball was of the order of 1012 cm-3. The emission intensity of (0, 0) bandhead of C2 Swan system was also measured. It was found that the emission intensity correlated linearly with C2 radical density. C2 radical density in the plasma ball under the condition where predominantly diamond can be formed was estimated to be 1010 - 2 x 1011 cm-3.
PS+TF-WeP-8 Effect of NO Radical Produced by Additives to PFC on Global Warming during PECVD Chamber Cleaning Using a Remote ICP Source
J.H. Kim, C.H. Oh (Sungkyunkwan University, Korea); S.S. Yoon (Jusung Engineering Co., Ltd., Korea); N.-E. Lee, G.Y. Yeom (Sungkyunkwan University, Korea)
N2, N2O, and NO were added as additive gases producing NO radical to C4F8/O2 during PECVD(plasma enhanced chemical vapor deposition) silicon nitride chamber cleaning and the effects of these additive gases to the PECVD silicon nitride cleaning have been investigated. For plasma cleaning, a remote ICP(inductively coupled plasma) source was used and cleaning rate, DRE(destruction efficiency), and MMTCE(million metric tons of carbon equivalent) were investigated as a function of gas mixture ratio, working pressure, rf source power, and flow rate. Using this ICP source, high DRE more than 95% could be obtained for all of the investigated conditions due to the high dissociation of the feed gases. Adding N-based additive gases and O2 to C4F8 not only significantly increased the cleaning rate by the surface reaction of NO radical generated by these additive gases but also decreased the MMTCE by decreasing the emitted CF4 concentration which has the highest concentration among the emitted PFCs (perfluorocompounds) in addition to the high global warming potential. CxFy is believed to decrease through the reaction between nitrogen from the additive gases and fluorine or carbon in CxFy from the dissociated PFCs. In this experiment, remaining feed gas and emission species such as CF4, C3F8, C2F6, COF2, etc. were detected at the exhaust line during silicon nitride cleaning by FT-IR(fourier transform - infrared spectrometer). Also, F and NO radicals were observed by OES(optical emission spectroscopy) and QMS(quadrupole mass spectrometer) at the chamber.
PS+TF-WeP-9 TaN Diffusion Barriers by Chemical-Enhanced Physical Vapor Deposition (CEPVD)
N. Li, J.P. Allain, D.N. Ruzic (University of Illinois, Urbana-Champaign)
Ta and TaN films deposited by physical vapor deposition (PVD) or ionized PVD (iPVD) are widely used as a conducting diffusion barrier layer in ultra-large scale integrated (ULSI) devices to prevent migration of Cu into adjacent dielectrics. While PVD films lack the highly conformal sidewall coverage of chemical vapor deposition (CVD) or metalorganic CVD (MOCVD), they offer high density and low resistivity desired for optimum barrier performance. Since the parameter space of PVD is quite different from CVD, getting the best attributes of both methods is problematic. We describe a novel process called chemically-enhanced physical vapor deposition (CEPVD) that, by the addition of a proper amount of precursor in the vicinity of the substrate, has the potential to deposit films with PVD quality and CVD step coverage. A Ta target is sputtered in a magnetron system with the Ta-containing metal-organic precursor vapor, TBTDET, in combination with a reactive (N2) carrier gas and an RF-powered secondary ionization plasma. In this preliminary experiment, planar films were deposited on silicon wafers at different pressure, RF incident power, substrate temperature and bias voltage. The ionized metal deposition conveys significant energy to the surface through bombardment, promoting film adhesion and generating films of stable crystallographic orientation. In addition the ion bombardment enhances the impurity volatilization and reduces the substrate temperature needed for chemical decomposition. Deposition rate and ionization fraction are measured using a gridded energy analyzer and a quartz crystal microbalance (QCM). Surface morphology are visualized using SEM and AFM; film composition and microstructure are characterized by XPS and XRD, respectively. Resistivity is evaluated by a four-point probe. Extension of the method to patterned structures is also discussed.
PS+TF-WeP-10 Two-dimensional Modeling of Charged Particles Transport in Capacitively Coupled Radio-frequency Discharges
A. Salabas (Instituto Superior Técnico, Portugal); G. Gousset (Univ. Paris-Sud, France); L.L. Alves (Instituto Superior Técnico, Portugal)
Plasma enhanced chemical vapour deposition is often employed to produce chemical active species, using capacitively coupled radio frequency (ccrf) glow discharges driven at 13.56 MHz. Predictions over film deposition rates necessarily pass through the description of charged particle transport in the discharge. The present work describes the transport phenomena in a ccrf reactor using a two dimensional fluid model. The description of charged particle transport is made by solving the continuity and momentum transfer equations for electrons and ions, coupled with Poisson and the electron mean energy equations.1 The physical model adopts the local mean energy approximation i.e. it computes the electron and energy transport parameters as well as the electron impact collision rates as functions of the electron mean energy. The model writes the electron and energy fluxes in the drift diffusion approximation, including the variation with position of the diffusion coefficient. Ion inertia terms are also considered by generalising the earlier concept of effective electric field.2 Adequate flux boundary conditions have been employed. The convergence criterion checks the main plasma parameters and assumes steady state solution when relative changes between two subsequent periods are less then 0.001. The model is solved for He, H2 and SiH4-H2 discharges produced within a cylindrical ccrf reactor similar to GEC reference cell, for 68 mTorr - 3 Torr pressures and 100 V - 500 V applied rf voltages. Results concerning non-local phenomena, the influence of silane dilution and reactor geometry are pointed out. In general, comparisons with experimental data indicate that the model improves earlier reported results for some electrical benchmark parameters.


1 J. P. Boeuf and L. C. Pitchford Phys. Rev E 51 (2) (1995) 1376.
2 J. D. P. Passchier and W. J. Goedhee J. Appl. Phys. 74 (6) (1993) 3744.

PS+TF-WeP-11 Effect of N-based Additive Gases to C4F8/O2 on Global Warming Gas Emission during Silicon Nitride PECVD Chamber Cleaning Process Using a Remote Plasma Source
C.H. Oh, N.-E. Lee, J.H. Kim, G.Y. Yeom (Sungkyunkwan University, Korea); S.S. Yoon (Jusung Engineering Co., Ltd., Korea)
PFCs have been used for CVD chamber cleaning and oxide etching processes. During cleaning and etching processes, the emission of perfluorocompounds(PFCs) into the atmosphere has caused growing concern in the semiconductor industry because of their potential global warming effects. Therefore, the semiconductor industry is proactively seeking ways to reduce PFCs emissions through alternative process chemicals, process optimization, and different abatement technologies including destruction and recovery. In this study, N-based additive gases were added to C4F8/O2 for silicon nitride plasma enhanced chemical vapor deposition(PECVD) chamber cleaning and their effects on the perfluorocompounds(PFCs) emission properties were investigated. We determined an optimum cleaning condition of C4F8/O2 chemistry as a function of processing condition such as additional gas mixture ratio, total gas flow, and working pressure. Under the optimum condition, we quantified the net emission of PFCs during cleaning of silicon nitride using Fourier transform-infrared spectroscopy (FT-IR) and then compared the effects of adding N-based additive gases to C4F8/O2 by evaluating the destruction removal efficiency (DRE) and the million metric tons of carbon equivalent (MMTCE). DRE and MMTCE were calculated by evaluating the volumetric emission. Ar/NF3 gas mixtures, which are commercially used for PECVD chamber cleaning, were also investigated with the remote plasma source to compare with the results of C4F8/O2/N-based additives gas mixtures. Comparing MMTCE with C4F8/O2/N-based additives and NF3/Ar in optimum condition, we could obtain similar MMTCE values for each gas mixture. Therefore, it is believed that Ar/NF3 can be replaced by C4F8/O2/N-based additive gas chemistry using a remote plasma source for the silicon nitride PECVD chamber cleaning.
PS+TF-WeP-12 Low-temperature PECVD Thin Film Optical Waveguides
G.T. Dalakos, E.M. Breitung (General Electric Global Research Center)
The ability to process inorganic thin film optical waveguides at low deposition temperatures (room temperature to <200C) allows compatibility with a large range of different material types. Notably, these include low-melting point optical polymers. However, most work in inorganic waveguide fabrication has been at high processing temperatures or involved high-temperature post-processing anneal steps which is incompatible with low-melting point materials. We offer insight into performance degradation, especially in regards to the processing of silicon alloy materials at low substrate temperatures in a conventional Plasma-enhanced Chemical Vapor Deposition (PECVD) setup. Optical loss due to interfacial surface roughness and bulk material absorption are focused on and processing methods to reduce both of these are presented.
PS+TF-WeP-14 Feature Profile Evolution during Cl2 and HBr Plasma Etching of Silicon
M.O. Bloomfield, T.S. Cale, Y.H. Im (Rensselaer Polytechnic Institute)
As demands for improved IC manufacturing continue to increase, topography simulation of the plasma etching process can help engineers develop easier and less costly process recipes. One of the barriers in achieving this goal is the lack of fundamental understanding of the behavior of energetic ions and reactive neutrals at the plasma-solid interface. We present a simulation study of feature topography evolution under Cl2 and HBr plasma etching using a deterministic approach. In this work, we use the ballistic transport and reaction model that was presented by Cale and Raupp.1 Fluxes from the plasma directly to the feature surface, from diffuse re-emission of neutrals from the surface, and from both specular and non-specular reflection of ions are considered. To consider the angular and energy dependence of the etch rate, we use the work of Chang and co-workers.2 In order to capture the bimodal shape of ion energy distribution, we regard the bombarding ions as the sum of independent monoenergetic species. We consider the ion angular distribution of each monoenergetic species, so that the effect of ion energy distribution on the shape of feature profile can be investigated. We compare the shape of etched feature profiles, some of which exhibit microtrenching, to experimental data for Cl2 and HBr plasma etching. Our simulations show that the difference in etched profiles can be regarded as due to the different characteristics of the specular reflection and the dependence of the etching yield on incident angle for Cl2 and HBr plasma. Simulation results are compared with experimental data and Monte Carlo based simulation from the literature.


1
1 T.S. Cale and G. B. Raupp, A Unified line-of-sight model of deposition in rectangular trenches, J. Vac. Sci. Technol. B 8 (6), 1990
2 Jane P. Chang, Arpan P. Mahorowala, and Herbert H. Sawin, Plasma-surface kinetics and feature profile evolution in chlorine etching of polysilicon, J. Vac. Sci. Technol. A 16, 1998

PS+TF-WeP-15 Plasma Damage Reduction in PZT Thin Films Etched by Inductively Coupled Plasma
K.T. Lim, D.P. Kim, K.T. Kim, C.I. Kim (Chung-Ang University, Korea)
Ferroelctric lead zirconate titanate (Pb(Zr,Ti)O3) thin films have been known for their applications in memory devices such as nonvolatile ferroelecric random access memory (FRAM) because of their high dielectric constants and bistable polarization. It is expected that PZT will improve the limitations in storage density encountered in conventional Si memory technology. During the dry etching, the defects, such as physical damage and chemical residue contamination and decomposition, will change the near surface region of the material that is exposed to the plasma and degrade ferroelecric and electrical properties. In this study, PZT thin films were prepared on Pt/Ti/SiO2/Si substrates by sol-gel processes. Pt top electrodes were deposited on PZT thin films by using rf magnetron sputtering. SiO2 was deposited on Pt top electrodes. SiO2 layer was etched in CF4/Ar inductively coupled plasma with PR mask. We continued etching Pt/PZT/Pt layer without removing PR and SiO2 patterns. PZT thin films were etched with Ar/Cl2 inductively coupled plasma. The etch rate is observed by various parameters. We also observed the effect of etching damage in PZT thin films during etching in Cl2/Ar plasma. The ferroelectric and electrical properties were measured with a precision workstation. We obtained stable value of remanent polarization and good fatigue resistance for PZT with SiO2 mask as compared with Pt dot, which was used as physical mask during etching process. The structural damages to the near surface of PZT are evaluated by transmission electron microscope (TEM) and x-ray diffraction (XRD). The chemical deformation of etched surface was surveyed x-ray photoelectron spectroscopy (XPS), electron probe micro analyzer (EPMA), auger electron spectroscopy (AES) and TEM-EDS.
PS+TF-WeP-16 Effects of Substrate Temperature on the Etching of Silver Films using Inductively Coupled Halogen-based Plasmas
S.D Park, Y.J. Lee (Sungkyunkwan University, Korea); S.G. Kim, H.H. Choe, M.P. Hong (Samsung Electronics, Korea); G.Y. Yeom (Sungkyunkwan University, Korea)
Silver(Ag) is one of the potential materials in thin film transistor liquid crystal display (TFT-LCD) because of its lowest bulk resistivity of all metals at room temperature and high reflectivity. Also, it is one of the attractive candidates for the intergrated circuit(IC) manufacturing. Currently, Ag etching is performed using wet etching methods, however, for the fabrication of the high resolution display devices, the use of plasma etching process is indispensable. In reality, there are several problems to be solved before Ag plasma etching to be applied to TFT-LCD processing. The main problems are the formation of involatile etch products, low etch rates, and high surface roughness after removing the etch products. For example, many works on Ag etching using halogen-based plasma have been studied, however, slow etch rates lower than 100nm/min and a thick involatile Ag etch products remaining during the etching were reported. Therefore, in this study, using an inductively coupled halogen-based plasma, the effects of substrate temperature were investigated to obtain higher Ag etch rates without remaining any involatile etch products. The results showed that when the substrate temperature was increased above 70°, the etch rate higher than 250nm/min could be obtained and, when Ar is added to halogen plasma, Ag etch products were effectively removed during the etching because of the increase of sputtering effect of etch products. To understand have the Ag etching characteristics, the optical emission spectroscopy(OES) and X-ray photoelectron spectroscopy(XPS) have been used and measured as a function of gas combination and substrate temperature. Also, a scanning electron microscope(SEM) was used to observed as-etched Ag surfaces.
PS+TF-WeP-18 A Study of Sapphire Etching Characteristics using Magnetized Inductively Coupled Plasmas
C.H. Jeong, D.W. Kim, H.Y. Lee, G.Y. Yeom (Sungkyunkwan University, Korea)
Sapphire substrate is attractive material because of its superior mechanical and corrosion property. It has been widely used as the substrate for GaN epitaxial growth and as insulating layer due to its high chemical stability, thermal stability, and dielectric property. On the other hand, it is known to be difficult for other processing such as etching and cutting due to the chemical and high thermal stability, the high hardness of sapphire itself, and the differences in the crystal orientation for GaN on sapphire. In this study, (0001) sapphire wafers were etched using magnetized inductively coupled plasmas(MICP) and their etch characteristics were compared with those by non-magnetized conventional inductively coupled plasmas(ICP). The use of Helmholtz type axial electromagnets around the chamber wall increased the sapphire etch rates while decreasing etch uniformity. By using both multi-dipole permanent magnets and axial electromagnets around the chamber wall, the etch uniformity could be improved while maintaining high sapphire etch rates. The sapphire etch rates close to 700nm/min which are higher than those etched using the conventional ICP could be obtained with optimized MICP conditions. The effects of etch parameters such as axial electromagnetic field(0 - 40Gauss), inductive power(600 - 1600Watts), and bias voltage(-100 - -300Volts) on the sapphire etch characteristic such as etch rates and etch selectivity over photoresist were investigated. The gas chemistry were maintained at 81%BCl3/9%HBr/10%Ar, respectively. The etch mechanism of sapphire in MICP was investigated by plasma diagnostics using optical emission spectroscopy(OES) and quadrupole mass spectrometry(QMS) during the sapphire etching and by surface analysis using X-ray photoelectron spectroscopy(XPS) after the etching. The etch profile was observed as a function of process parameters by scanning electron microscopy(SEM) before and after etching the samples.
PS+TF-WeP-19 Improvement of Etching Sub-micron Photonic Structure by Enhanced-inductively Coupled Plasma (E-ICP)
S.B. Jo, B.H. O (Inha University, Rep. of Korea); Y. Fainman (University of California, San Diego); S.G. Park, S.G. Lee, E.H. Lee (Inha University, Rep. of Korea)
Photonic crystals have attracted broad range of interests due to fascinating control power of light propagation in photonic devices. As it is important in photonic devices to have optical surface smoothness and high accuracy of critical dimensions, it is not simple to fabricate photonic crystals with sub-micron period. It is required to minimize surface smoothness and to have highly anisotropic etch profile in order to achieve high fidelity fabrication of photonic crystal structures. In this study, we have fabricated a silicon-oxide sub-micron grating for 1D-photonic crystal structure by plasma etching method. A simple periodic grating structure with a period of sub-wavelength of a light is a kind of one dimensional photonic crystal with a special purpose. The characteristics, such as etch profile and surface roughness, are improved in enhanced inductively coupled plasma (E-ICP) technique compared to normal ICP technique. PMMA as a mask of a fine-grating patterning is patterned by a E-beam lithography. Sub-micron grating patterns have been successfully transferred into silicon-oxide layer with high etch rate over 350 nm/min, at the optimized condition of an E-ICP mode. The vertical angles are about 90° and the surface roughness is less than 20 nm as seen in a scanning electron microscope (SEM) images.
PS+TF-WeP-20 Inductive Coupled Cl2/Ar Plasma: Experimental Investigations and Modeling
A.M. Efremov (Ivanovo State University of Chemistry & Technology, Russia); D.P. Kim, C.I. Kim (Chung-Ang University, Korea)
Inductively coupled plasma in Cl2/Ar mixtures is a widely used in microelectronics technology. The main aim of the current work was to investigate the influence of external process parameters (gas pressure and flow rate, input power density, mixture content) on internal electro-physical properties (EEDF, electron drift rate and average energy) and on a kinetic characteristics of neutral and charged active particles formation and decay (kinetic and transport coefficients). Investigations were carried out in ICP 13.56 MHz system under such condition as: gas pressure 10 - 30 mTorr, total gas flow rate 10 - 20 sccm and input power density 0.1 - 0.2 W/cm3). Analysis was carried out using both experimental and mathematical modeling technique. Experimental part included investigations using OES spectroscopy and actinometry, langmuir probe measurements and QMS analysis. Modeling algorithm was based on the simultaneous self-consistent solution of Boltzmann kinetic equation together with the balance kinetic equation of neutral and charged particles formation and decay in a quasi-steady-state approximation. A main mechanisms supporting chlorine atoms formation and decay both for volume and heterogeneous processes were analysed including a stepwise dissociation involving an excited "heavy" particles (Ar metastable atoms). A stationary mass content of plasma volume including neutral (ground-state and excited atoms and molecules) and charged (electrons, positive and negative ions) was determined under the various external process conditions.
PS+TF-WeP-22 Improvement of ITO Etch Rate and Uniformity by Enhanced-ICP Technique
C.W. Kim, S.B. Jo, B.H. O, S.G. Park (Inha University, South Korea)
Indium-tin-oxide(ITO) is now being used widely as a transparent electrode with high optical transmittance and excellent electrical conductivity. As the wet etch technique of ITO has several problems, such as isotropic etch profile and etch rate dependent film characteristics, dry etch technique has been applied to form ITO film-electrodes. Although the dry etch technique of ITO with organic gas chemistry provides good anisotropic etch profile, it has the problem of low etch rate. And as the size of applicable substrate, such as for the flat panel display(FPD), increases, the uniformity of etch rate on large area becomes one of the most important issues. Here, we report improved etch characteristics of ITO on large area by applying the 'Enhanced-ICP'(Inductively Coupled Plasma) technique with an appropriate design of experiment (DOE), based on Taguchi method, to obtain better etch rate with organic gases. The unit ICP antenna for large area plasma source is arrayed to form 2x2. The etch rate of ITO with E-ICP operation showed improvement of about 50% than normal-ICP operation, as consistent to the previous report for the photoresist etch by E-ICP operation. A better etch uniformity is also obtained on 350x300mm substrate with E-ICP operation.
PS+TF-WeP-23 Modeling of Etch Profiles in RF biased Inductively Coupled Plasma Etching Reactor
C.D. Wang, B. Markland, D. Malanaric, E. Brown, D. Galley (ATMEL); B. Abraham-Shrauner (Washington University); R. Hoekstra (Sandia National Laboratories)
Knowledge of the ion angular distribution at the wafer plane in an etching plasma is critical for modeling the etching profiles. The etching profile is an evolution of etch surface in time. The evolution equation can be expressed in terms of etch rate. This paper illustrates that the etching profile can be obtained by considering both the ion energy distribution (IED) and ion angular distribution (IAD). These distributions were generated using the Hybrid Plasma Equipment Model and Plasma Chemistry Monte Carlo Model for a Lam TCP plasma etching reactor. The points from the distribution function simulations are divided into different sets in terms of incident angles of ions. Due to the noisy characteristics of simulated data, a smoothing technique is implemented. Each set of smoothed data is fitted with multiple terms of the analytical expression for the drifting Maxwellian distribution functions. These etch rates manifest a proportional relationship with the ion energy flux of experimental evidences by Ding et al.. A statistical optimization technique is utilized here for extracting three parameters: ion temperature, ion density and ion drift energy from each set of the ion drifting Maxwellian distribution functions. By using these crucial parameters, an etch profile is obtained.
PS+TF-WeP-24 Impact of Pattern Density on Characterization of Critical Dimension
X. Xu, E. Croffie, M. Garza (LSI Logic Corporation)
The plasma etch of polysilicon is the most critical step in the fabrication of integrated circuits with submicron features since the critical dimension of polysilicon, which is typically used as gates, affects the speed performance of microelectronics devices. The characterization of polysilicon plasma etch can be varied by a global pattern density (called the loading effect) and a local pattern density (called the microloading effect). The etch variations, caused by the pattern density, depend on the specific plasma sources and tool configuration. In this work, silicon wafers patterned with photo resist on a stack of N-doped polysilicon and silicon dioxide have been etched on Lam 9400 DFM etching system in order to investigate the effects of pattern density on critical dimension (CD) and CD bias. The global density is obtained by varied dummy sizes and densities. The local effect is obtained by a large area with a certain polysilicon density and narrow isolated and dense lines at different distances from the large area. It has been found that the impact of global and local polysilicon densities with our new recipe on the tool is small compared to our old technology. In addition, the corresponding plasma chemistries have been studied by the Hybrid Plasma Equipment Model (HPEM).
PS+TF-WeP-25 Effects of Ion Bombordment on Developed Photoresist during RIE Processes for sub 0.25 micron Semiconductor Devices
M. Naeem, R. Wise (IBM Microelectronics); T. Wang (Cypress Semiconductors); G. Worth, D. Dobuzinsky (IBM Microelectronics); Z. Lu (Infineon Tech); H. Abdul-Ridha (Conextent)
The use of advanced resist systems has become necessary for lithography in processing of advanced (sub 0.25 µm) semiconductor devices to achieve acceptable image quality. These novel resist systems are more sensitive to both post exposure treatments as well as the ion bombardment component present in reactive ion etch (RIE) processes. We discuss the impact of resist interactions with low energy plasma and morphological changes in the resist profile. In particular, the effects of different photoresist constituents, post develop bake conditions, various RIE steps and RIE parameters in capacitively coupled plasma (CCP), magnetically enhanced RIE (MERIE) and inductively coupled plasma (ICP) systems on resist morphology and the quality of final etched images are presented.
PS+TF-WeP-26 Characterization of RIE Lag Scaling In Oxides
D.L. Keil (Lam Research Corporation)
Recent advances in ultra large-scale integration (ULSI) have typically depended on reductions in etched feature size. This has motivated efforts to find etch processes that will precisely etch increasingly smaller features while retaining the ability to etch larger features. As feature sizes push below 0.25 µm, reactive ion etch (RIE) lag control becomes increasingly important. Knowing how RIE lag scales with feature size for a given process aids in determining if that process must be discarded and a new one developed. In those situations where a process cannot be discarded, an understanding of RIE lag scaling aids in predicting fabrication difficulties for a given device design. Using a minimal set of initial assumptions, it is shown that a relationship can be derived which relates etch rate to the time development of the feature aspect ratio. It is then shown that this relationship can be used to derive an expression for the etch depth as a function of time and feature size. The assumptions made are justified by phenomenological observation rather than by an assumed mechanism. This approach enhances the generality of the results obtained, thus making them useful for a variety of practical etch engineering applications.
PS+TF-WeP-27 The Etching Mechanism of Au Thin Films in Cl2/Ar High Density Plasma
Y.S. Chang, D.P. Kim, C.I. Kim, E.G. Chang (Chung-Ang University, Korea)
Au is employed extensively in the electrodes of high dielectric capacitors or in compound semiconductor devices, principally because of its high electrical conductivity and its property of relative chemical inertness. Since the report of Au thin film etching has a few, we studied the etch characteristics of Au thin films by using high density plasma etching system. In the study, Au thin films were etched with a Cl2/Ar gas combination in an inductively coupled plasma. The experiment was done by controlling the etching parameters such as gas mixing ratio, radio frequency power, direct current bias, and chamber pressure. The surface reaction of the etched Au thin films was investigated with x-ray photoelectron spectroscopy (XPS) using narrow scan spectra. Ar ion bombardment is more dominant than chemical reaction between Au and Cl. The results of secondary ion spectrometer (SIMS) analysis were the same as results of XPS analysis. In addition, optical emission spectroscopy (OES) were investigated to analyze radical density of Cl and Ar in plasma. The profile of etched Au investigated with scanning electron microscopy (SEM).
PS+TF-WeP-28 Reduction of Particle-contamination in Plasma Etching Equipment by Dehydration of Chamber Wall
N. Ito, F. Uesugi, T. Moriya (NEC Corp., Japan); M. Matsumoto (Lam Research Co., Ltd., Japan); S. Liu (Lam Research Corporation); Y. Kitayama (Lam Research Co., Ltd., Japan)
For reduction of particles sticking on the wafers in the poly-gate (WSi/poly-Si) etching by real transfer couple d plasma (TCP) equipment, we have investigated the behavior and the outbreak of particles above the wafers by using lase r light scattering method. Numbers of particles on the wafers were also investigated by wafer-level inspection machines. Most particles were observed at rf power turned-off in case of the process gas containing fluoride. The main compositio n of particles were Al and F. And upside of the process chamber wall coated with Al2O3 was corroded. Therefo re it was inferred that these particles were generated by a reaction of the fluoride gas and Al2O3 surface. Moreover, numbers of particles above and on wafers were drastically increased after the wet cleaning of the chamber wall . It showed that the reaction of generating particles was activated by moisture. From the knowledge, dehydration process inserted after wet cleaning of a process chamber achieved the particle-contamination reduction. In the conventional mai ntenance, it took 30 hours to reduce the number of particles until acceptable quantity after wet cleaning. However it wa s shorten to 2 hours by inserting dehydration process.
PS+TF-WeP-29 Shallow Trench Isolation Etch for Sub 0.10 µm Applications
S.M. Williams, J. He, M. Shen (Applied Materials)
As feature size continues to shrink to sub 0.10µm and below, photoresist thickness is becoming steadily thinner, and the migration to 193nm resist is beginning. We have developed resist mask and hard mask etch processes, which address the challenges posed by these small feature sizes. Both processes are in situ, with the mask open and trench etch performed in the same chamber, increasing throughput and lowering the cost of ownership. For customers who require near zero nitride loss, the resist mask approach allows the continuation of current integration schemes; by protecting the nitride throughout the trench etch. The process is tunable from 78-88 degrees, and incorporates both top corner and bottom corner rounding in order to minimize leakage current and stress related defects. The resist mask process will eventually run into limitations when resist thicknesses approach 3000Å, especially when 193 nm resist is used, driving STI etch toward the hard mask approach. For customers making a transition from a resist to a hard mask STI etch, it is important to maximize the selectivity to the nitride hard mask in order to minimize the impact on the integration scheme. We have developed a hard mask process, which is highly selective to nitride (>40:1) and tunable from 78-88 degrees. Bottom corner rounding and top corner rounding schemes are incorporated. In addition, the process is HBr free, eliminating the corrosion associated with Br condensation.
PS+TF-WeP-30 Silcon Etching in High-Denisty Plasmas, Revisited
A.S. Orland, R. Blumenthal (Auburn University)
The ECR-microwave plasma etching of silicon with chlorine is revisited using the enhanced capabilities of supersonic pulse, plasma sampling mass spectrometry. Using a new orthogonal injection time-of-flight mass spectrometer, it is now possible to measure the relative concentrations of both etch products, such as SiCl, SiCl2, SiCl3, and sputtered species, such as Si2Cl, Si2Cl2, and distinguish them from species formed in the gas phase as a function of plasma conditions.
Time Period WeP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2002 Schedule