AVS2002 Session PS1-MoA: Dielectric Etch I

Monday, November 4, 2002 2:00 PM in Room C-103

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2002 Schedule

Start Invited? Item
2:00 PM PS1-MoA-1 Role of Fluorocarbon Radicals and Ions in SiO2 Surface Etching Mechanism in Fluorocarbon-Based Discharges
X. Hua, L. Ling, X. Li, G.S. Oehrlein (University of Maryland, College Park); M. Barela, H.M. Anderson (University of New Mexico)
To provide information on the synergistic and respective roles of FC radical and ion fluxes in SiO2 and Si surface etching mechanisms, we measured the surface chemical changes of deposited/steady-state fluorocarbon (FC) films, etching rates of FC, SiO2 and Si, and determined the absolute gas phase density of CF, CF2 and COF2 radicals as a function of RF bias and gas composition of C4F8/Ar inductively coupled discharges. Ar addition to C4F8 strongly increases the plasma density relative to pure C4F8 (~ 4x at 90% Ar), and results in a dramatic increase of the ion/neutral flux ratio for C4F8/90%Ar discharges relative to C4F8 (>20). Nevertheless, the x-ray photoelectron spectra of FC films formed on SiO2 and Si surfaces without RF bias remain remarkably similar to those of films produced in pure C4F8 discharges at much lower ion/neutral ratio. Upon applying an RF bias, etching of FC, SiO2 or Si commences. The C1s spectra of FC surface films for C4F8/90%Ar discharges become strongly fluorine-deficient relative to conditions without RF bias, whereas the C1s spectra of FC films formed in C4F8 change little. Infrared laser absorption spectroscopy was used to determine CF, CF2 and COF2 densities over SiO2 and Si surfaces, with and without RF bias, and as a function of gas mixture. Without RF bias (FC deposition), the CF, CF2 and COF2 densities do not vary with substrate type. With an RF bias, the CF2 density over a SiO2 surface is strongly reduced relative to a Si surface, and the change reflects the relative SiO2/Si etch rate ratio. The composite of the results provide a fairly detailed view of the dominant surface etching mechanism and ion/neutral synergy.
2:20 PM PS1-MoA-2 Characteristics of c-C4F8, c-C4F8/Ar and c-C4F8/O2 Inductively Coupled Plasmas for Dielectric Etching1
A.V. Vasenkov (University of Illinois at Urbana-Champaign); X. Li, G.S. Oehrlein (University of Maryland, College Park); M.J. Kushner (University of Illinois at Urbana-Champaign)
Fluorocarbon plasmas are widely used for etching of silicon dioxide and other dielectrics. In particular, inductively coupled plasmas sustained in c-C4F8 with varying amounts of diluents such as Ar and O2 are used to produce optimum fluxes of ions and radicals. To investigate plasma chemistry in these system, reaction mechanisms were developed for inductively coupled plasmas (ICPs) and reactive ion etching reactors sustained in c-C4F8, c-C4F8/Ar and c-C4F8/O2 chemistries; and implemented into a 2-dimensional plasma equipment model. The limited electron impact cross-section data for the fluorocarbon species were collected and synthesized; and rate coefficients for gas phase chemistry were taken from independent studies in the literature or estimated from measurements for related species. The final mechanisms involve 46 species and over 300 reactions. Parametric modeling studies were performed for ICPs at powers from 400 W to 1400 W and in a pressure range from 6 mTorr to 20 mTorr for c-C4F8, c-C4F8/Ar, c-C4F8/O2 plasmas. The mechanisms were validated by comparing to measured ion saturation currents obtained with probes. The dominant reaction pathways and strategies to optimize desired radical fluxes will be discussed.


1
1Work supported by Semiconductor Research Corp., National Science Foundation and Sematech.

2:40 PM PS1-MoA-3 Effects of Ar Gas Dilution on Precise SiO2 Etching using CF3I/ C2F4 Plasma
S. Samukawa, H. Ohtake (Tohoku University, Japan); H. Ishihara, A. Koshiishi (Tokyo Electron AT, Japan)
The φ0.1µm high-aspect-ratio SiO2 contact etching has successfully done using the parallel-plate commercialized etcher with the Ar-dilution C2F4/ CF3I plasma. In the XPS analysis of deposition film, the radical-density ratio (for example, CF3/ CF2) in C2F4/ CF3I does not change when Ar flow increases. However, it drastically changes in C4F8 gas chemistry. This could be because the C4F8 has complex dissociation processes. On the other hand, the radical-density ratio does not change with Ar dilution because the C2F4/ CF3I gas chemistry has simple dissociation processes. Accordingly, the control of the radical densities in the C2F4/ CF3I plasma could be easy by controlling the Ar flow. In this Ar/ C2F4/ CF3I gas chemistry, the SiO2 etching rate does not decrease even when Ar flow increases. However, the etching selectivity of SiO2 to photo-resist increases when increasing the Ar dilution. Since the effect of the ion bombardment increase with Ar dilution, the C/F ratio in the deposited film on the photo-resist increases. As a result, the etching selectivity of SiO2 to the photo-resist increases. With these conditions, the φ0.1µm, 10- aspect- ratio SiO2 etching in C2F4/ CF3I Ar plasma has successfully. Additionally, we investigated the leak current of MOSFET (L=0.1um, Tox: 20A) with multiple contact holes. In the threshold voltage and leak current measurement, the charging damages are not observed. Accordingly, the Ar dilution for C2F4/ CF3I gas chemistry is very effective on the control of the radical densities and the increase of selectivity.
3:00 PM PS1-MoA-4 Plasma Etching Chemistry and Kinetics for Silicon Oxide Thin Films
O. Kwon, H.H. Sawin (Massachusetts Institute of Technology)
Surface kinetics study of silicon oxide etching with fluorocarbons in inductively coupled plasmas High density fluorocarbon plasma for silicon oxide etching has various ion and neutral species. Depending on the plasma condition, many difficulties arise such as RIE lag, etch stop, and low selectivity of photoresist. Profile evolution modeling can provide understanding of these difficulties in etching as well as trenching, bowing, and faceting. In this research we have measured etching and deposition rates as functions of ion bombardment energy, ion impinging angle, ion-to-neutral flux ration, which are necessary for profile evolution modeling of silicon oxide etching in inductively coupled plasma. In this work, ions and neutrals are extracted directly from plasma to differentially pumped side chambers. Surface reaction is studied by measuring etching and deposition rate with quartz crystal microbalance(QCM). At the same time, ion and neutral composition of the plasma is determined with mass spectrometer. Etching or deposition rate is measured with QCM as functions of ion acceleration energy, ion impinging angle, ion-to-neutral flux ration with various fluorocarbon plasmas. With fluorocaron plasma, fluorocarbon deposition was observed at low ion energy, high ion impinging angle, low plasma power and high pressure. A model describing both deposition and etching regimes is suggested.
3:20 PM PS1-MoA-5 Electrical Conductivity of Sidewall Deposited Fluorocarbon in SiO2 Contact Holes
T. Shimmura, S. Soda, S. Samukawa, M. Koyanagi, K. Hane (Tohoku University, Japan)
Predicting the feature profile evolution for high aspect ratio contact hole patterning requires quantitative measurements of the sidewall conductivity in contact holes. This paper reports on On-wafer monitoring of the sidewall current in holes. We were developed the device used for measuring the sidewall conductivity. A SiO2 film (500 nm) was deposited between Poly-Si electrodes (300 nm). The holes were fabricated by HF wet etching or conventional ICP etching. The diameter of holes was 0.5 µm, and the numbers of holes were 240000. The sidewall current was measured by supplying the voltage of 20 V between electrodes. When the holes were formed with the wet etching, the sidewall current was 4.5 nA. Conversely, it was 276.8 nA in the case of ICP etching. By removing the deposited polymer, it decreased to 40.9 nA. To clarify the influence of the deposited polymer on conductivity, we also deposited polymer in the fabricated holes using C4F8 UHF plasma (5mTorr, UHF power: 500W). Then, deposited polymer was exposed Ar plasma (5mTorr, UHF power: 1000W). In XPS studies, the carbon to fluorine ratio of as deposited film was 0.91. After ion irradiation, it changes to 1.86. In FT-IR spectra, characteristic absorption peaks of fluorocarbon films are appearing in 1100 - 1400 cm-1.1 The absorption that appears in neighborhood 1700 cm-1 was increased and shifted to low wave number by ion irradiation. This result shows that ion irradiation causes defluorination and forms unsaturated bond. Additionally, the sidewall current increased to 2181.7 nA from 38.8 nA by ion irradiation. We had found that the high cross-linked and unsaturated fluorocarbon film caused increase in sidewall current.


1 N.M.Makie, N.F.Dalleska, D.G.Castner, and E.R.Fischer, Chem. Mater., 9 349 (1997).

3:40 PM PS1-MoA-6 Silicon Oxide Highly Selective Etching Using Novel Solid Gas Sources
M. Nagai, M. Hori, T. Goto (Nagoya University, Japan)
Dry etching of silicon oxide (SiO2) films is an essential process for fabricating deep contact holes in ultralarge-scale integrated circuits (ULSIs). This process has been developed by using high density plasma employing perfluorinated compound (PFC) gases such as CF4, CHF3, C2F6, C4F8, and so on. In this process, the high selectivity of SiO2 over resist and underlayers and the vertical etching profile for high aspect ratio patterns are required. However, there has been a problem of the poor SiO2/resist selectivity using PFC gas source. Additionally, PFC gases of high global warming potential cause a serious environmental problem. In this study, we have developed an environmentally begin etching process without PFC gases which enables to control the radicals independently, for example CF2 radical, C atom and F atom. In this system, the etching was performed with magnetron plasma source. The top electrode of graphite was supplied with two rf powers of 13.56 MHz and 450 kHz, which were separated through a low-pass filter. The plasma was generated by 13.56 MHz and the incident ion energy on the graphite target was controlled by 450 kHz. The bottom electrode was supplied with rf power of 2 MHz as the substrate bias. Fluorine and carbon atom sources were employed instead of PFC gases. Ar and F2 gases as a fluorine atom source were injected into the process chamber. Carbon species were generated by magnetron sputtering of the graphite plate using Ar and F2 gas plasma. In this etching system, the etching characteristics of SiO2 and resist were investigated. As a result, by controlling the carbon and fluorine species incident on the surface, we have obtained the SiO2 etching rate of 420 nm/min and high SiO2/resist selectivity of 10 with good pattern profile of the contact holes in environmentally begin etching system without employing PFC gases.
4:00 PM PS1-MoA-7 Ion-enhanced Chemical Etching of ZrO2 in a Chlorine Discharge
L. Sha, J.P. Chang (University of California, Los Angeles)
Novel plasma etching chemistries are needed to pattern high dielectric constant materials, such as ZrO2, to enable their integration in sub-0.13 µm complementary metal oxide semiconductor (CMOS) devices. In the work, we aim to study the reaction kinetics of etching ZrO2 in chlorine chemistry in an Electron Cyclotron Resonance (ECR) high-density plasma reactor. The gas phase species, including the reactants (Cl2, Cl2+, Cl, Cl+, Cl-, …) and the etching products (zirconium chlorides and chlorine oxides), were identified with optical emission spectroscopy (OES) and quadrupole mass spectroscopy (QMS). The etch rate was determined to scale linearly with the square root of ion energy in the higher ion energy regime (Eion > 60 eV), indicating that it is limited by the momentum transfer to the etched film. At low ion energies, the etch rate was quite constant, likely due to reactive sputtering of the metal oxides. The etching products were found to be predominantly ZrCl3 (>70%) at low ion energies. However, ZrCl4 became dominant at much higher ion energies. This is likely due to the enhanced surface chlorination under higher ion energy impact. This is in good agreement with X-ray photoelectron spectroscopy (XPS) measurements, which revealed increased surface chlorine content in the film etched at higher ion energy. The concentrations of the gas phase species will be quantified with actinometry and QMS, as a function of the chlorine pressure, ion energy, and the microwave power. The etching mechanism will be proposed and the reaction rate coefficients will be calculated based on a CSTR model. CHEMKIN will be used to simulate the etching process and the results will be compared with the experimental measurement. Finally, BCl3 will be introduced to assess its effects on the etching selectivity of ZrO2 to Si.
4:20 PM PS1-MoA-8 Ferroelectric Etching Characteristics in Ar/Cl2 and in Ar/SF6 Mixtures
L. Stafford, J. Margot (Universite de Montreal, Canada); M. Chaker (INRS-Energie et Materiaux, Canada)
Ferroelectric materials such as barium-strontium-titanate (BST) and strontium-bismuth-tantalate (SBT) are promising for many applications, including high-k DRAMs, FeRAMs and microwave components in the millimeter wavelength range. In order to integrate these materials to various devices, the development of reliable and efficient patterning processes is a crucial issue. In this context, it was recently shown that excellent etching characteristics for BST and SBT could be achieved using a pure argon high-density plasma, provided it is operated in the very low-pressure regime (i.e. 1 mTorr or less).1 However, scientific literature indicates that the use of reactive fluorinated or chlorinated plasmas may still improve the process. For this reason, we have investigated the etching of BST using Cl2-Ar mixtures. The emphasis is put on the influence of the experimental conditions (total gas pressure and Cl2 percentage in Ar) on the etch rate and on the selectivity over HPR-504 photoresist. The etching results are correlated to the plasma characteristics, including positive ion density, ion energy and reactive neutral atom concentration as obtained from various plasma diagnostics (Langmuir probes, mass spectrometry on ions and neutrals, and actinometry). Our results show that the etch rate is directly proportional to the positive ion density, whatever the experimental conditions yielding that density. This indicates that in the range of pressures investigated, the etching mechanism is dominated by sputtering. Thus, considering the fact that for given experimental conditions, the ion density in chlorine is significantly lower than in argon, the use of argon thus appears more advantageous than Cl2 to achieve high etch rates together with a good selectivity. These results will also be compared to those obtained in Ar/SF6.


1 L. Stafford et al., J. Vac. Sci. Technol. A, 20(2), pp. 530-535 (2002).

4:40 PM PS1-MoA-9 Measuring Vacuum Ultraviolet Radiation-Induced Damage
J.L. Lauer, J.L. Shohet, R.W.C. Hansen (University of Wisconsin-Madison)
During plasma processing, UV(ultraviolet) and VUV (ultraviolet) radiation is present, but its effects are difficult to separate from those due to charge particles incident on the wafer. To isolate the radiation effects, unpatterned oxide-coated wafers and Charm-2 wafers were exposed to UV/VUV radiation at the University of Wisconsin-Madison synchrotron. The contribution of UV/VUV photon irradiation to gate-oxide damage, and damage to dielectric materials in general, were examined using two measurement techniques that may predict the possibility of damage. They are (1) surface potential measurements and (2) electrically erasable read-only memory transistors (Charm-2 Wafers). In addition to these measurements, the current flowing to the wafer and the voltage on the substrate, were monitored during photon irradiation. Two processes, photoemission and photoconductivity, can create currents flowing across the dielectric layer, while only photoemission occurs in conductors. Positive charge appearance on the surface of dielectrics and conductors by VUV photoemission results in extraneous charge measurements with both techniques. As a result, it can become difficult to interpret the net amount charge on surfaces. In addition, it was determined that the UV monitors on Charm-2 wafers do not respond to VUV radiation.1 Thus, the results from both damage measurement techniques must be analyzed carefully, especially in situations where VUV generation is important, such as in processing plasmas.


1The authors are grateful to W. A. Lukaszek of Wafer Charging Monitors and John Hu of LSI for loaning us the Charm-2 wafers and providing the analysis of the UV/VUV exposures. This work was supported in part by the National Science Foundation under grant DMR-0084402

5:00 PM PS1-MoA-10 Transfer of Resist Roughness into Substrates during Plasma Etching
A.P. Mahorowala, D.L. Goldfarb, G.M. Gallatin, D. Pfeiffer, K.E. Petrillo, K. Babich, M. Angelopoulos (IBM T.J. Watson Research Center)
Traditionally photoresists have been evaluated on the basis of their lithographic process latitude and etch resistance. For sub-150 nm process technologies, this is inadequate because the deviations in linewidth caused by a photoresist's inherent roughness and transferred into the substrate during etch can be comparable to the maximum allowable tolerance. The photoresist roughness issue has been exacerbated by the introduction of 193 nm photoresists whose films are not only thin but whose etch resistance is poorer than the 248 nm photoresists widely used. The photoresists used in conjunction with 157 nm and EUV lithographies are expected to be even thinner. This paper systematically studies the roughness transfer into an oxide substrate when using 248 nm, 193 nm and 157 nm photoresists in conjunction with organic and inorganic anti-reflective coatings/hard masks. Photoresist thickness, minimum feature size, plasma etch chemistry and time were varied as a part of this study. The analysis is based on cross-sectional and top down SEM micrographs and careful measurement of the sidewall roughness using AFM. The relative contributions of the photoresist material, development conditions, and the etching conditions to the roughness of the final image are determined and explained. Recommendations to prevent roughness transfer into the substrate are made.
Time Period MoA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2002 Schedule