AVS2002 Session PS-TuP: Plasma Applications

Tuesday, November 5, 2002 5:30 PM in Room Exhibit Hall B2

Tuesday Afternoon

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2002 Schedule

PS-TuP-1 Temperature Mapping in Fluorocarbon Plasmas using PLIF of CF
K.L. Steffens (National Institute of Standards and Technology)
During semiconductor processing, fluorocarbon plasmas are commonly used for dielectric etching. As model-based reactor design and process development become more prevalent, data is needed for model development and validation. The translational temperature in a plasma can vary spatially, leading to spatial variations in gas density and reaction rates. Spatial mapping of these temperature variations would provide useful information for modelers. In this work, 2-D temperature maps in fluorocarbon plasmas, measured using planar laser-induced fluorescence (PLIF) of the CF radical, will be presented. Measurements are made in the capacitively-coupled Gaseous Electronics Conference rf Reference Reactor in CF4 plasmas at various power levels at 200 mTorr. PLIF has previously been used to measure spatial maps of CF21 and CF radicals in fluorocarbon plasmas, by exciting the species with a laser sheet and imaging the fluorescence using an intensified CCD camera. In this variation of the PLIF technique, multiple spatial maps are imaged for the same plasma, exciting a different rotational line of the CF radical for each image. The fluorescence intensity map in each image is related to the rotational population in the probed CF ground state rotational level. Assuming a Boltzmann distribution, the ratio of image intensities can used to calculate the rotational temperature of CF, which is expected to be in equilibrium with the plasma's translational temperature under these conditions.


1 K. L. Steffens and M. A. Sobolewski, J. Vac. Sci. Technol. A 17(2) 517 (1999).

PS-TuP-2 A Comparison of the Performance Between Low Pressure Magnetized and Non-magnetized Microwave Discharges
M. Perrin, T.A. Grotjohn, J. Asmussen (Michigan State University)
Microwave discharges can be created and maintained at low pressures both with and without the application of static (ECR and non-ECR) magnetic fields. Thus important microwave plasma source design issues are (1) when is the application of a static magnetic field advantageous, (2) what are the differences between the output performance of similar magnetized and non-magnetized microwave discharges, (3) what microwave heating mechanisms maintain the two different microwave discharges at low pressure? This investigation attempts to answer these questions by experimentally measuring the performance of a 13cm diameter microwave plasma source operating in argon gas from 1-50 mTorr with and with out a set of multipolar permanent magnets. Specifically, differences between magnetized and non-magnetized discharges are noted by comparing measured electron densities, electron distribution functions and effective electron temperatures versus pressure,i.e. 2-50 mTorr, and absorbed microwave power from 200-500W. The discharge stability of each configuration is also noted. The experimental results indicate that at pressures above 6 mTorr the non-magnetized discharge is superior in all aspects of performance to the magnetized discharge. Thus above a specific pressure ;i.e. 6 mtorr in this case, the application of static magnetic fields is not required to efficiently maintain microwave plasma processing sources. In fact the application of a magnetic field may reduce source efficiency. However at very low pressures ,i.e.below 4mtorr in this case, magnetized discharges are more efficient and stable and have lower electron temperatures and plasma potentials. The experimental measurements suggest that the non-magnetized discharge is maintained by non-collisional mechanisms at pressures below 15-20 mTorr. The similarity between discharge configurations also then suggests that similar non-collisional heating mechanisms are present in the magnetized discharge.
PS-TuP-3 Ion Attachment Mass Spectrometer(IAMS) for in situ and Fragment-free Monitoring of Plasma-CVD and Dry-etching Processes
Y. Hirano, M. Nakamura, Y. Shiokawa, T. Fujii (Anelva Corporation, Japan)
We have developed and commercialized Ion Attachment Mass Spectrometer (IAMS),1 which supplies mass spectra with no peaks due to fragment ions. Molecular ions are observed in spectra of even reactive molecules such as radicals. We demonstrated that IAMS enabled us to detect molecular ions of reactants of the Cu-CVD process and PFCs(perfluoro compounds) in an exhaust gas from a dry etching machine.2 However, our spectrometer shows the highest sensitivity at the sample pressure of 100 Pa so far and is hardly applicable to the in situ measurements of gases for etching or CVD whose pressure is less than 10 Pa; where signal intensity for the sample at a pressure of 1 Pa was roughly estimated to be 10,000 times smaller than at the pressure of 100 Pa. In this study, we have improved the IAMS as to show the satisfactory sensitivity for the sample with the pressure of 1 to 10 Pa by (1)decelerating primary ions before collision with sample molecules, and (2)improving efficiency of transportation of ions by using a specially designed ion lens system. As a result, the peak due to molecular ion for neat cyclo-C4F8 was observed at a pressure of 1 Pa where the signal intensity of 2X10-8 A and S/N of 104 were obtained at the SEM gain of 10,000. The low-Pressu re-IAMS has been found to be useful for in situ fragment-free monitoring of molecules and radicals, especially in plasma -CVD and dry etching processes. Precious discussions with Prof. Munetaka Nakata and Prof. Masao Takayanagi of Tokyo University of Agriculture and Technology are gratefully acknowledged.


1
1 T.Fujii, Mass Spectrometry Review 19(2000)111.
2 M.Nakamura et al JVST-A 19(2001)110 5.

PS-TuP-4 Sub-Millimeter Absorption Spectroscopy of Fluorocarbon Plasmas
E.C. Benck (National Institute of Standards and Technology)
Sub-millimeter (300 GHz to 1 THz) absorption spectroscopy is being developed as a diagnostic for measuring radical densities and temperatures in processing plasmas for microelectronics. Most molecules, radicals, and ions have transitions suitable for detection at these frequencies and the necessary spectroscopic data is available in the literature for determining the absolute radical densities. In addition, the narrow linewidths of < 10 kHz of these continuous-wave sources are suitable for measuring rotational, vibrational and translational temperatures of radicals. Initial measurements are being conducted with a backward-wave-oscillator (BWO) source and a liquid-He-cooled bolometer detector. Radical density measurements have been made in inductively and capacitively coupled GEC Reference Reactors. The influence of wafer coatings on plasma chemistry has been measured for several different fluorocarbon (C4F8, C4F6, and C5F8) / oxygen etching gas mixtures.
PS-TuP-5 Neutral Gas and Positive Ion Species of Ar/SF6 Inductive Plasma Discharges
R.R. White, M. Tuszewski (Los Alamos National Laboratory); A.M. Marakhtanov (University of California, Berkeley)
The neutral gas and positive ion species of Ar/SF6 inductive plasma discharges are studied with a Balzers PPM421 mass spectrometer. The species of two inductive plasma sources are compared: (1) a hemispherical plasma source operated at 0.46 MHz and, (2) a planar plasma source operated at 13.56 MHz. No Faraday shield is used between the coil and the quartz dielectric. The capacitive coupling of the hemispherical source is much smaller than that of the planar source. The radiofrequency (rf) power is varied between 0 and 1 kW, the gas pressure is varied between 1 and 10 mTorr, and the SF6 gas concentration is varied between 0 and 1. The main results obtained so far with the hemispherical plasma source are listed below. The SF6 gas is largely dissociated into fragments such as SF and SF2. These gas fragments have relatively low (10 - 12 eV) ionization potentials that are important for discharge sustainment. Dissociation fractions up to 95% are observed for the lowest pressures and for the highest rf powers. SF3+ is found to be the dominant positive ion species for most discharges. However, the SF5+, SF2+, and SF+ ion concentrations are significant at the lowest gas pressures and highest rf powers. Impurity gas and ion concentrations are relatively low for most discharges. Similar data acquired with the planar source will be presented and compared to those of the hemispherical source.
PS-TuP-6 Introduction of a Powerful New Method of Generating Accurate Endpoint Traces Combined with Process Fault Classification for Low Dimensional Open Areas
D. Knobloch, F.H. Bell (Infineon Technologies AG, Germany); K. Voigtlaender, J. Zimpel (ADP GmbH, Germany)
A powerful new method of generating accurate endpoint traces based on full range optical emission spectroscopy (OES), e.g. for contact hole and VIA etch, is presented. Conventional endpoint systems derive endpoint signals by monitoring one or two discrete wavelengths. However, this technique is not accurate enough for logic and advanced memory products with low open areas and highly topographical structures. Consequently, more sophisticated and robust endpoint control of plasma processes requires the use of the full optical spectral information and powerful analysis methods. Statistical methods based on algorithms, such as evolving windows factor analysis (EWFA), principal component orientation (PCO), Hotelling's T2 and others have been used to find suitable endpoint traces. However these methods do not tend to be robust enough, because all changes in plasma emission - not only endpoint relevant changes - will be traced. To overcome these problems, a newly developed algorithm that separates endpoint information from process faults and variations is presented. The algorithm uses the complete individual weighted spectral endpoint information for a long-term robust and sensitive endpoint detection. The algorithm is based on modelling techniques constructing an optimal superposition of spectral eigenvectors to generate a spectral software filter. The application of this method in the production works like a black box for the engineer. The only requirement is the predefinition of the shape of the expected endpoint trace. Accurate and robust endpoint detection of open areas beyond 0.5% and highly topographical structures is achieved and presented.
PS-TuP-7 Laser Thomson Scattering Diagnostics of Plasmas near Material Surfaces
K. Muraoka, K. Uchino, Y. Yamagata, Hassaballa Safwat (Kyushu University, Japan)
Laser Thomson scattering is a well established technique for measuring electron density and temperature of high temperature plasmas. During the last decade, the applicable range of the technique has been expanded to measurements of electron properties, not only electron temperature and density, but more generally eedf itself, of glow discharge plasmas by using data accumulation and photon counting technique.1 It is presently being further expanded to diagnose plasmas near material surfaces of less than 100 microns. This should enable us to study plasmas of interest for barrier discharges used for plasma display panel. In addition, this will make possible to study, for example, cathode sheath phenomena, where electron drifts away from the cathode should be directly detectable. The potential of this new development is discussed.


1K. Muraoka et al., Plasma Sources Sci. Technol. (to be published).

PS-TuP-8 Study of Process Variables and Plasma Parameters during Reactive Sputtering from a Titanium Hollow Cathode Source
A. Pradhan, S.I. Shah (University of Delaware)
Hollow Cathode Sources offer the advantages of conformal depositions and high target utilization and the ability to coat three-dimensional objects over planar sources. In addition, we have found that Hollow Cathode Sources are more suitable for reactive sputtering of compound materials as they offer stable operation and significantly higher deposition rates. These advantages have been demonstrated by using a titanium Hollow Cathode Source to reactively deposit titania thin films. The target potential and current did not exhibit the hysteresis commonly observed with planar sources. Target charging and the related arcing was not observed, even on the increasing the oxygen concentration in the sputtering gas past the metal-oxide transition point. Thin films were deposited on glass substrates and characterized by XPS and XRD. In addition, Langmuir probe measurements were carried out to determine the variation of the plasma parameters with oxygen concentration in the reactive gas and time. The spatial variation in the plasma parameters was also determined by moving the probe along the long axis of the Hollow Cathode. The electron temperature was close to 1eV, and the plasma potential varied from a few volts below to a few volts above zero. The plasma density was orders of magnitude greater than that obtained in planar sputtering, which would help explain the high deposition rate observed.
PS-TuP-9 On the Limits of Operation of a Species-selective Gauge Based on the Penning Discharge Configuration1
C.C. Klepper, R.C. Hazelton, F. Barakat, J. Niemel, M.D. Keitz (HY-Tech Research Corporation); J.P. Verboncoeur (University of California, Berkeley)
A Penning discharge tube has been used as the excitation source for optical detection of gaseous species concentrations in a neutral gas. This type of diagnostic has been primarily used in magnetic fusion energy experiments for the detection of minority species in the effluent gas (e.g. for helium detection in a deuterium background). Recent innovations2 have allowed for extension of the operation range from <1Pa to as high as 100Pa and possibly beyond. This is done by dynamically varying the gauge parameters to keep the optical signals nearly constant (or at least away from a non-linear dependence on the pressure). However, there are limitations to this approach, because the Penning discharge can manifest itself in a number of modes, each exhibiting a different spatial emission pattern. As a result, varying the discharge parameters can cause the gauge to undergo transitions between these modes, disrupting any intended monotonic dependence of the overall emission on the varied parameter and hence any predicable impact on the emission. This paper discusses some of the modes observed experimentally. It also presents some progress made to date in using a particle-in-cell (PIC) code to predict these modes and mode transitions. The hope is that a good understanding of the physics involved in the mode transitions may allow for methods of either avoiding or suppressing such modes. This would aid in broadening the use of this plasma-based sensor technology.


1
1 Research sponsored in part by the US Department of Energy under contract # DE-FG02-98ER82592-A001 (Phase II SBIR) with HY-Tech Research Corporation.
2 C.C. Klepper et al., "Species-selective pressure gauge with extended operation", US Patent No.6351131, granted Feb. 26, 2002

PS-TuP-10 A Comparison of Techniques for Measuring Plasma-induced Damage
P. Sakthivel, A. Srivastava, M. Colson, M. Tun (Axcelis Technologies, Inc.)
Plasma-induced damage is a key parameter that directly affects yield in chip manufacturing, and is even more critical for the new technology nodes that the semiconductor industry is poised to tackle. While many techniques have evolved to characterize the level of plasma damage at different stages of exposure to plasma during the manufacturing process, no single technique is considered uniquely reliable. We present, in this paper, a comparison of three of the most sensitive techniques for characterizing the performance of a second generation 300mm downstream microwave plasma strip system, the FusionES3i. The ES3i has nearly double the input microwave power for its upstream plasma source as its predecessor, and an additional RF Assist capability-a radio frequency capacitive source designed to provide low energy ions on demand. High density maps of contact potential difference were obtained on oxide coated silicon wafers to assess charging of the oxide layer on the asher using the COCOS technique (SDI), and compared with maps produced by the COS technique(KLA). NMOS and PMOS devices with several antenna ratios were also fabricated at International SEMATECH and exposed to the plasma under typical ash conditions, to compare the sensitivity of this technique to the two non-contact techniques. During some of the tests, both the upstream microwave and RF sources were operated simultaneously. The different techniques indicated that there was no evidence of charge damage for even "long" processes like post-implant strip, during which low energy ion bombardment could be used in conjunction with the microwave source. The spatially resolved data also provided a picture of the uniformity in distribution of ions over the surface of the wafer.
PS-TuP-11 Electron Energy Distribution Function Measurement in Dual Frequency Very Narrow Gap Capacitively Coupled Plasma
B.I. Jeon, H.Y. Chang (Korea Advanced Institute of Science and Technology (KAIST))
We measured Electron Energy Distribution Function(EEDF) in very narrow gap dual frequency Capacitively Coupled Plasma(CCP). 2Mhz and 27Mhz dual radio frequency is used. Electrode gap is variable bewteen 13mm and 24mm. To get the reasonable EEDF noise suppression is indispensible. We made self resonant coil with resonant frequency 2, 4, 27 and 54 Mhz to reduce rf noise. We use pulse measuring technique(double differentiation method) to get EEDF. Proper design of probe, rf noise seppression coil and low-pass filter in double differentiation circuit, we can measure EEDF in noisy plasma.
PS-TuP-12 Plasma Characteristics of Magnetically Confined Linearly Extended Inductively Coupled Plasma
B.K. Song, Y.J. Lee, C.H. Jeong, G.Y. Yeom (Sungkyunkwan University, korea)
Development of large-area high-density plasma sources is desired for a variety of next-generation plasma processing from microelectronic device fabrications to high resolution flat panel display(FPD). The plasma source developed for these application includes surface wave plasmas, inductively coupled plasmas, etc. In these plasmas, however, problems in conjunction with electron energy such as SiO2/Si etch selectivity, etc. have been reported. These are related to the fact that the high energy portion of the electrons are prone to be exceedingly energetic in high density plasmas generated especially at low pressure and cause inadequate radical/ion ratio in the plasma. In this study, parallel-connected linear inductive antenna designs have been used to generate inductively coupled plasmas and, to improve both the plasma density and the electron temperature control, multiple-cusp magnetic fields employing permanent magnets were used and the effects of various magnet combinations and process conditions on the plasma characteristics were studied. The permanent magnets having 3000G on the magnet surface were arranged above the parallel-connected linear copper antennas by varying center-to-center distance of the magnets. Plasma characteristics such as electron temperature, ion density, and electron energy distribution functions were measured by a Langmuir probe as a function of with/without multiple-cusp magnetic confinement at low pressure Ar plasmas. The use of optimized multiple-cusp magnetic confinement in the parallel-connected linear inductive antenna designs showed improved electron temperature control in addition to the increase of plasma density. QMS(Hiden Analytical Inc., PSM 500) and OES(SC technology., PCM 402) measurement were also carried out to characterize the plasmas for the parallel-connected linear inductive antenna designs with/without the multiple-cusp magnetic confinement.
PS-TuP-13 Large Area Plasmas Processing System Based on Electron-Beam Ionization
D. Leonhardt (Naval Research Laboratory); S.G. Walton, D.D. Blackwell (SFA, Inc.); R.F. Fernsler, R.A. Meger (Naval Research Laboratory)
Electron beam (e-beam) ionization has been shown to be both efficient at producing plasma and scalable to large area (square meters). NRL has developed a 'Large Area Plasma Processing System' (LAPPS)1 based on the e-beam ionization process, with the goals of increased control over plasma-to-surface fluxes and the modification of materials surface properties over large areas. Our system demonstrates that the beam ionization process is fairly independent gas composition and capable of producing low temperature plasma electrons in high densities. The system consists of a planar plasma distribution generated by a magnetically collimated sheet of 2-5kV, ~ 1 mA/cm2 electrons injected into a neutral gas background (oxygen, nitrogen, sulfur hexafluoride, argon). Typical operating pressures range from 20-200 mtorr with beam-collimating magnetic fields (100-300 Gauss) for plasma localization or without magnetic fields for a more diffuse, volumetric plasma source. Time-resolved in situ plasma diagnostics (Langmuir probes, microwave transmission and mass spectrometry) will be shown to illustrate the low electron temperature (<1eV), high electron densities (109-1013cm-3) and plasma-to-surface fluxes. Emphasis will be placed on recent surface modification tests consisting of silicon etching, anisotropic removal of polymeric material (photoresist) and organic surface activation for multi-step large-area applications. Plasma chemistry issues associated with modifying these materials using different feedstock gases in these plasma sources will be presented. In particular, the capability of high degree of dissociation and control over the incident ions will be discussed. These results come from plasma sheets in various test systems, with active areas ranging from 15cm x 20cm to areas approaching 1 square meter. Substrate uniformity in larger sources will also be discussed.


1 Work supported by the Office of Naval Research.

PS-TuP-14 Large Area Surface Modification by Atmospheric Pressure Plasma for Cleaning and Adhesion
Y.H. Lee, C.H. Yi (SungKyunKwan University, Korea); E.S. Choi (LG-PRC); H.C. Woo (Korea Vacuum Tech.); G.Y. Yeom (SungKyunKwan University, Korea)
Plasma treatment for surface modification has been used to produce hydrophobic or hydrophilic surface on metals, plastics, glass, or polymers in industry. Especially, due to the possible low capital cost of ownership, simplicity, high throughput, etc. plasma surface treatment under atmospheric pressure is actively studied, currently. In this study, a novel large area atmospheric pressure plasma apparatus has been used to generate a large area atmospheric pressure plasma (plasmas larger than 700mm in width) and the effects of this type of plasma apparatus on the removal of organic material of large area glass substrates, ITO/glass, and Ag/ITO/glass for the large area display panel such as TFT-LCD panels and plasma display panels have been carried out. A low frequency AC power supply with a sine wave voltage (3-100kHz) was used to generate the plasmas under atmospheric pressure. He was used as the ignition and discharge gas and O2 was used as the reactive gas. He/O2 plasmas were generated between the two electrodes covered with a dielectric having slot shape holes and facing each other at a distance of a few mm. The sample was mounted just below the plasma region formed by these two electrodes. The size of the electrodes was 20mm(L) x 760mm(W). Using this type of atmospheric pressure plasma apparatus, dense, uniform, and very stable plasma could be obtained on the entire area of the electrode. Using this apparatus, organic materials such as photoresist were etched to estimate the cleaning rate of organic materials and cleaning uniformity. Characteristics of the plasmas were investigated by I-V characteristics using a current probe and a high voltage probe and by optical emission spectroscopy and cleaning rate was measured using a step profilometer. Characteristics of the cleaned sample surface were investigated by X-ray photoelectron spectroscopy and also by measuring contact angle of water drops.
PS-TuP-15 Microwave Power Coupling Principles for Generating Small Microwave Plasmas
S. Zuo, J.J. Narendra, A. Wijaya, D. Story, T.A. Grotjohn, J. Asmussen (Michigan State University)
The development of small high density (1012 -1013 cm-3) microwave plasma sources with dimensions of 0.3 mm to several mm are under investigation. These mini plasma sources can be generated and sustained by either capacitive coupling of the microwave fields to the discharge or by a plasma resonance coupling to the discharge. Further, in some configurations plasma guided waves can be generated that power the discharge along an extended length. Various microwave field generating structures have been investigated including discharges created in the gap in the center conductor of a coaxial waveguide/cavity structure, discharges created in a quartz channel located in the dielectric layer of a microstripline, discharges created in the gap of the metal line of a microstripline, and discharges created at the end of a microwave powered electrode. The discharges generated by each of these configurations have been characterized to assess the power coupling characteristics and efficiencies of these various structures. These microwave power coupling structures have also been analyzed using electromagnetic field simulation tools. Additionally, the microwave fields in the structures that generate plasma guided waves have also been characterized using a very small sampling antenna along the plasma. The structure of these plasma guided waves have been analyzed for both long linear plasmas and for plasmas that branch into Y or T shapes using experimental measurements and electromagnetic/plasma models.
PS-TuP-16 Simulation of a Micro-Plasma Reactor
D. Economou, S.K. Nam (University of Houston)
Recently, there has been interest in microfabricated plasma reactors with potential uses in ion thrusters, plasma displays, as integral parts of microelectromechanical systems (MEMS), etc. We have developed a self-consistent simulation model to study microfabricated inductively coupled plasma reactors. The Maxwell equations provide the power deposition profile; this is used in an electron energy equation to predict the electron temperature (assumed Maxwellian EEDF) and the rate coefficients of electron-impact reactions. These are in turn used in ion and neutral species balances to predict their 2-D density profiles. The simulation evolves until convergence. We have studied an argon plasma in a micromachined ICP, including the effect of metastables. Results will be shown and analyzed in view of the large surface-to-volume ratio of the micro-plasma reactor. Simulation results will be compared with the data of Hopwood et al (JVST B, vol. 18, p. 2446, 2000). Work supported by the NSF.
PS-TuP-17 Affecting Plasma Polymerised Film Properties by the Control of Ion Energy
D. Barton, R.D. Short (University of Sheffield, UK); J.W. Bradley (UMIST, UK)
By applying an RF potential onto a substrate, which is matched in phase and amplitude to these potentials in the plasma, we are able to selectively control the ion energy distribution function at a depositing surface. This technique does not perturb the bulk plasma, and therefore leaves other particles incident on the substrate, e.g. radicals, excited species, unaffected. Because of this, we are able to estimate, in-situ, the effect of ion energy upon film structure and properties. We have incorporated a suite of diagnostics including deposition rate monitor, an energy resolving mass spectrometer and an ion flux probe. Deposited films were examined ex-situ using XPS and SIMS techniques. We present data for the different monomer types triglyme and acrylic acid, and demonstrate that ion energies affect both the deposition rate, and introduces new functionalities onto the film surface.
PS-TuP-18 Study of Continuous Fluorocarbon Ion Deposition on Polystyrene Surfaces using Molecular Dynamic Simulations
I. Jang, S.B. Sinnott (University of Florida)
In this study, continuous deposition of polyatomic fluorocarbon ions (C3F5+) on polystyrene surfaces is investigated using molecular dynamics simulations. The forces are determined using the reactive empirical bond order method for short-range interaction and Lennard-Jones potential for long-range van der Waals interaction. The incident energy of the ions is 50 eV and the incident angle is normal to surface. The results predict that 47.2 % of carbon atoms and 47.7 % fluorine atoms from incident ions are deposited on the surface. Major species remaining on the surface are the intact ion (C3F5+) and CF2 fragments. The average penetration depth of the ions and fragments is 1.7 nm. Some surface etching occurs during the deposition process. On average, one carbon and hydrogen atom is removed from the surface for every second ion that is deposited. Some ions or fragments combine with each other and form larger molecules. Thus, the simulations document the atomic-scale processes that ultimately lead to the growth of fluorocarbon thin films.
PS-TuP-19 Ion Energy Distributions at the Substrate and Feature Charging During Plasma Etching
A.E. Wendt, R. Silapunt, M. Patterson, R. Ding, Y.-H. Ting (University of Wisconsin - Madison)
Substrate bombardment by energetic ions is a critical element of many plasma processes, and the magnitude of the ion energy is an important process parameter. However, the conventional sinusoidal bias voltage waveform generally leads to broad bimodal ion energy distributions (IED) at the substrate, and therefore cannot be used to take full advantage of ion bombardment as a means of controlling process results. By using a non-sinusoidal bias voltage waveform, we are able to produce a narrow IED at the substrate, with dramatic results that may help in meeting future IC manufacturing requirements. Our tailored bias voltage waveform has a periodic shape at the substrate consisting of a short spike in combination with a longer period of constant voltage. Most ions cross the sheath during the period of constant sheath voltage, resulting in a narrow IED. The height of the spike sets the magnitude of the ion energy. We have previously reported measurements of the sheath voltage waveform and etch selectivity on blanket films, confirming the effectiveness of this approach. This paper addresses the influence of the IED on feature charging during the etching of patterned dielectric films. In contrast to blanket films, in high-aspect-ratio features there is a greater shadowing effect for electrons than for ions. As a result, the feature bottoms will charge positive and deflect ions, and a steady state is reached when electron and ion fluxes balance. A limitation of a narrow ion energy distribution in that case is that the feature bottom must charge to a much higher potential in order to deflect the ions compared to the case of a bimodal distribution that includes some low energy ions, drastically reducing the energy of the remaining ions that do reach the feature bottom, affecting selectivity and etch rate. Proposed modifications of the tailored voltage waveform to address these issues will be presented.


1 Supported by SRC and NSF ECS-0078522.

PS-TuP-20 Energetic CF3+ and F+ Bombardments of Si Surfaces using Molecular Dynamics Simulations
J.J. Seo, J.W. Kang, H.J. Hwang (Chung-Ang University, Korea)
Classical molecular dynamics (MD) simulations for Si etching by energetic fluorocarbon and fluorine ions have been performed to study surface ion reaction mechanisms for plasma etching. The Si-C-F potential function developed by Abrams and Graves was used to describe surface-atom interaction, which is based on the tersoff formalism. When CF3+ ions impacted sequentially on a Si substrate with incident energies of 50, 100 and 200 eV at normal incidence, we have observed that a mixed layer of CF3+ ions and Si is formed on the surface. Our results also showed that the thickness of F coverage increases and then it is saturated, as the Si surface is irradiated with F+ ions. Etch rates and selectivities obtained from MD simulations was compared with available experimental data and another simulation result.
PS-TuP-21 Simulations of Topography Defects Development (Undercut and Bowing) for Deep Silicon Etching under a SF6/O2 Plasma Chemistry
G. Marcos (University of Orleans, France); A. Rhallabi (University of Nantes, France); P. Ranson (University of Orleans, France)
New microelectronic applications such as MicroElectroMechanical Systems (MEMS) need very high aspect ratio trenches (deep/width>60) in semiconductors. Improvements in dry etching processes have been performed in obtaining deep silicon trenches by using a cryogenic method in an Inductive Coupled Plasma reactor with a SF6/O2 plasma discharge(see abstract of M. Boufnichel at al). The experimental research has shown that undercut and bowing formation is strongly correlated with plasma parameters, mask shape and time during processing. In order to understand the involved plasma-surface interaction mechanisms, we have developed a two dimensional etching model based on Monte-Carlo techniques. This etching model includes different surface processes due to neutral reactive species such as the fluorine and the atomic oxygen. Their flux is assumed to be isotropic. A transport model through the RF sheath is connected with the surface model to calculate angular and energetic ion function distribution. Monte-Carlo approach allows to introduce physical processes with probabilistic considerations, such as adsorption/desorption, spontaneous chemical etching, ion preferential sputtering, incident species reflexion, passivation layer formation and redeposition. Kinetic parameters are introduced as input data obtained by experimental measurements. The etched substrate is discretized by a series of uniform square cells which size defines a real number of silicon atoms. Local surface displacement is modelled by "full" cells dis/re-appearance when an etching or redeposition process occurs. This microscopic method gives an instantaneous picture of surface state during the process. In particular, it permits to follow the F/Si and O/Si surface coverage on the sidewalls versus depth and time. These information are useful to understand the transport of species in the trench. The model shows that undercut and bowing development depends on kinetic surface parameters.
PS-TuP-22 Ion Trajectories in Electron-shading Damage
T.G. Madziwa, F.F. Chen, D. Arnush (University of California, Los Angeles)
In electron-shading damage, the photoresist is charged negatively, preventing electrons from entering the trench, while ions are accelerated toward the bottom of the trench. We have numerically calculated the effect of these fields on the ion trajectories. The ions are injected at acoustic speed from a sheath edge far from the substrate, and the electrons have a Maxwell-Boltzmann distribution. The photoresist and trench walls are assumed to be insulators, and the trench bottom a conductor at various potentials relative to the sheath edge. The potentials on all surfaces are given initial values, and a Poisson solver is used to compute the electric field everywhere. The ions' trajectories in this field are then computed. Setting the flux of ions to each dielectric surface equal to the Maxwellian electron flux yields a new value of the surface charge. The E-fields and trajectories are then recomputed, and the process iterated until the values converge. It is found that the E-field is concentrated near the entrance to the trench, the only place where the charges matter. The ions receive a kick there and then coast the rest of the way. Thus the trajectories are very sensitive to the exact shape of the photoresist and will change as the etch progresses.
PS-TuP-23 LIF Measurement of Catalytic Species in Plasma Plume for Carbon Nanotubes Formation by PLA
T. Ikegami, M. Uchiyama, K. Ebihara (Kumamoto University, Japan); J. Asmussen (Michigan State University)
Single wall carbon nanotubes (SWNT) have been synthesized in an inert gas, 500 Torr, atmosphere by using pulsed laser ablation (PLA) on a graphite target containing metal catalysts such as Fe, Ni/Co. In order to clarify the mechanism of formation of carbon clusters and to understand the role of catalytic atoms in the formation of SWNT, carefully diagnostic experiments have been conducted. Specifically a carbon and Fe or Ni composite target was ablated by KrF excimer laser or YAG laser in Ar gas environment of several hundred Torr. Carbon species such as atoms, ions and molecules C2 and C3 in the ablation plasma plume were measured using absorption spectroscopy, ion probe, laser induced fluorescence (LIF) method, respectively. At the same time density profiles of catalytic Fe and Ni atoms were also measured using LIF method. By changing laser fluence on the target and laser wavelength (λ=248nm, 532nm, 1064nm), the relationship between carbon species and catalytic species profiles was investigated. Also the effect of magnetic and electric fields on these species was examined. Nanoparticulate soot was collected and refined to obtain nanotubes after the ablation. Properties of nanotubes were measured using AFM, XRD, FT-IR, XPS and ESR. Preliminary measurements on carbon species indicate that their density and profiles are strongly affected by laser wavelength and fluence. Species concentrations vs. the type of the catalyst will be also discussed. This research is partly supported financially by the Ministry of Education, Science, Sports and Culture, Grant-in-Aid for Scientific Research (C), Japan.
PS-TuP-24 Surface Investigation of Bone Tissue Treated with Non-thermal Plasmas
J.-C. Cigal, C.Y.M. Maurice, E. Wagenaars, L.J. van Ijzendoorn, A.H.F.M. Baede, R. Huiskes, G.M.W. Kroesen (Eindhoven University of Technology, The Netherlands)
In the last few years, much effort has been carried on the development of biocompatible plasma. Such technique directly derived from material surface processing could lead, in a long term, to a plasma-based cure for disease like restenosis, bone cancer, osteoporosis, or eczema. Our group recently developed a non-thermal plasma needle working at atmospheric pressure for this purpose. In order to be able to investigate the interaction between the plasma and living tissue, we used a low pressure plasma operating on a larger area. Because of its stability, bone tissue appeared to be the most suitable bio surface for this study. It is composed of a mineral network constituted of Calcium-hydroxy-apatite, combined with organic material (e.g. collagen). The samples have been exposed to an inductively coupled plasma, using different gases (Argon, Krypton, Helium, and Oxygen in mixture). The samples have been analysed by using infrared spectroscopic ellipsometry before and after treatment. This technique based on Fourier transform analysis presents the advantage of giving accurate information on the chemical composition of the surface of the tissue. Results have been compared with other techniques such as EDX, environmental scanning electron microscopy (ESEM), and nano-indentation. We also performed some ion beam diagnostics like RBS, PIXE, ERDA, and nuclear reaction analysis. These complementary experiments allowed us to determine the elemental of the bone tissue. These parameters are strongly connected to the above mentioned diseases.
PS-TuP-25 Surface Modification of Polymers in the Development of Anti-Microbial Coatings for Medical Devices
G.Sh. Malkov, E.R. Fisher (Colorado State University)
The applying of polymers in medical devices requires strict control over material surface chemistry. Plasma-Enhanced Chemical Vapor Deposition (PE-CVD) of fluorinated monomers is a useful technique for altering the surface chemistry without affecting bulk properties and obtaining low energy surfaces. Plasma-deposited fluoropolymers demonstrate chemical inertness, lubricity, and blood compatibility. In this study, we have investigated the surface modification and characterization of polystyrene and polyvinyl chloride. Chemistry and wettability differences of modification steps were characterized using angle-resolved X-ray photoelectron spectroscopy (XPS) and static contact angle measurements. Polymer surfaces have been coated by the thin film deposition using a capacitively coupled pulsed plasma RF-discharge system with C3F8 and C4F8 as precursors. Resulting fluorocarbon films display a high hydrophobicity (water contact angle ~110°). Then the samples were activated by RF-plasma glow discharge in the presence of argon gas and coated with Pluronic F-108, which is inert to cell adhesion. The water contact angles decreased to approximately 60°. Differences in the ability to modify the fluoropolymers, depending on both monomers and plasma polymerization conditions, are observed. The composition of the surfaces will be presented as well as data from ageing studies. Preliminary XPS and contact angle measurements show a change in composition of the surfaces on time. Data from protein adhesion studies using fluorescently tagged proteins will also be presented.
PS-TuP-26 Optimization of Four-component Gas He-Ne-Xe-Kr for High Efficiency Plasma Display Panel
T.W. Kim, S.U. Kwon, H.J. Hwang (Chung-Ang University, Korea)
Plasma display panel (PDP) has been spotlighted as one of the promising candidates for a flat panel display. The improvement of luminous efficiency is one of the most important issues in making a plasma display into a large flat panel device. The most fundamental element determining the discharge property is the discharging gas injected into the cell of AC PDP. Therefore, we aimed to find the optimum gas composition for maximum electric discharge. A new composition of a four-component gas, He-Ne-Xe-Kr, is proposed in order to achieve a high luminous efficiency (maximum electric discharge) in color PDP. The model utilized here is based on self-consistent simulation of the microdischarges in the PDP cell. The space and time variation of the electric field within the cell is self-consistently determined by solving the fluid equations for ions and electrons together with Poisson's equation, subject to the boundary conditions imposed by the electrode boundaries. The electrical model is coupled to a model of excited species kinetics. The density of Xe*(3P1) and electron, which is critical in determining the luminous efficiency, were calculated using the two-dimensional model. and The efficiency of the electrons in exciting UV emitting states of Xe was calculated. The results were then compared with measurements of luminous efficiency to identify the optimum mixing condition of He(70): Ne(27): Xe(3)-Kr gas for a color PDP.
PS-TuP-27 Bond Strength Improvement of Plasma Sprayed Hydroxyapateite/Titanium Composite Coatings on Titanium: Partial Nitriding of Titanium Deposits by RF Thermal Plasma
M. Inagaki, Y. Yokogawa, T. Kameyama (National Institute of Advanced Industrial Science and Technology (AIST), Japan)
Plasma sprayed hydroxyapatite (HA) coating on titanium alloy substrates has been used for medical application to promote the osteoconductivity of implanted materials. For practical application, such as artificial joint, HA coatings with excellent adhesion to the substrate have been strongly demanded to ensure long-time fixation. However, due to the large difference in thermal expansion coefficients between coated ceramics and metal substrates, residual stress arises at the metal/ceramics interface. Such residual stress often causes cracks and reduces the bond strength of coatings. Recently, we have developed a radio frequency (RF)-TPS method that allows us to obtain strong adhesion between HA coatings and titanium (Ti) substrates by employing a HA/Ti composite coating. Here, we briefly describe a promising method to improve the adhesiveness of HA/Ti composite coatings by RF-TPS method. HA/Ti composite coatings were deposited on titanium substrates by a RF-TPS method with RF input powers of 10-30 kW. Partial nitriding of Ti deposits conducted by plasma-enhanced reaction during plasma spraying of HA/Ti composite coatings. The ratio of HA and Ti powders supplied into the plasma was precisely controlled by two microfeeders so as to change the composition from Ti-rich to HA-rich toward the upper layer of the coatings. The bond (tensile) strength of HA/Ti composite coatings was 40-65 MPa. XRD patterns of Ti coatings without HA showed that titanium nitride was formed at the surface of titanium deposits sprayed with N2 plasma gas. Scanning electron microscopic observation showed an acicular texture on the Ti deposits prepared with N2 added plasma gas.
PS-TuP-28 Reactive Sputtering in Hollow Cathodes
S.I. Shah, A. Pradhan (University of Delaware); S. Berg, T. Nyberg (Uppsala University, Sweden)
Hollow cathode sputtering, due to the enclosed geometry, presents a unique opportunities that can be favorably utilized for depositing conformal coatings on complex substrates. Additional advantages related to reactive sputtering can be obtained from such enclosed sources. We have characterized a Hollow Cathode Source (HCS) for reactive sputtering from metal targets. Deposition rates close to that of metals are obtained during reactive deposition of oxides. We will present TRIM modeling to show the effect of oblique emission of the sputtered flux on the total sputtering rate. Similar effects can be seen from reflected neutrals. No hysteresis behavior in any of the sputtering parameters, typical for reactive sputtering in planar sputtering, was observed. We will present a model which will include the consideration of the relative cathode to anode areas, redeposition of the sputtered flux, pumping speed, relative flux of the metal and the reactive gas, etc., for hollow cathodes. The model shows that reactive sputtering in a circular confined geometry can be carried out at a very high deposition rate without the complexity of a hysteresis in the sputtering parameters.
PS-TuP-29 Process-Induced Damage by the Low Angle Forward Reflected Neutral Beam Etching
D.H. Lee, M.J. Chung, H.K. Hwang, G.Y. Yeom (Sungkyunkwan University, Korea)
Plasma etching is one of the key technologies in the fabrication of deep submicron silicon based integrated circuits. However, plasma etching has a serious disadvantage due to the energetic charged particles such as positive ions and photons generated in the plasma which causes radiation damage causing physical defect, increased gate oxide breakdown, charging, etc. To avoid these charge-related and physical impact-related damages, several low-damage processes have been proposed. One possible alternative to avoid these problems is a low energy neutral beam etching. In the previous study, a neutral beam was formed using a low angle forward reflected neutral beam technique as a possible anisotropic etching technique without charging and its degree of neutralization and etch characteristics were investigated. When the ion beam was reflected at a reflector at the angles lower than 15 degrees, most of the ions reflected were neutralized and the lower reflector angle showed the higher degree of neutralization. In this study, process-induced damages during the etching of SiO2 were investigated in addition to the etch rates and the etch properties of SiO2 for fluorine-based gases using the low angle forward reflected neutral beam etching system. Surface contamination was performed by X-ray photoelectron spectroscopy (XPS) and Auger electron spectroscopy (AES). Also, possible neutral beam induced charge damage was examined through electrical characteristics such as C-V, I-V, and breakdown voltage of the gate oxide and the Si-SiO2 interface after the etching.
PS-TuP-30 Experimental Study on New Sterilization Process by using Plasma Source Ion Implantation Method with N2 Gas
M. Yoshida (Mitsubishi Heavy Industries, Ltd., Japan); T. Tanaka, S. Watanabe, T. Takagi (Hiroshima Institute of Technology, Japan); M. Shinohara (Kurita Seisakusho Manufacturing Co., Ltd., Japan); S. Fujii (Adtec Plasma Technology Co., Ltd., Japan)
Plasma source ion implantation (PSII) with negative high voltage pulses has been applied to uniform sterilization process for three-dimension shaped species. This process was performed with and without external plasma source, that has been generated by inductively coupled antenna using 222 kHz RF voltage. The pulsed high negative voltage (~10 µs pulse width, 300~900 pulses/sec, 9~16 kV) was applied to the electrode in this process at the gas pressure of 2~7 Pa of N2. This process has been found out to be capable of generating glow discharge plasma around SUS electrode, on which quartz glass plate with biological materials are placed. We have obtained a preliminary result that the PSII process has reduced log numbers of Bacillus Pumilus with N2 gas plasma generated by pulsed RF and DC voltages. This experimental result is showing a 5~6 log10 colony forming units reduction in Bacillus Pumilus with dried culture media by 5~10 minutes exposure. The effect of several discharge conditions such as gas pressure, pulse voltage, and RF power have been investigated experimentally. The RF discharge without negative high voltage pulses has been found to reduce colony forming units by ~3 log10 for 40 minutes exposure as well. However, negative high voltage pulses are necessary to achieve 5~6 log10 reduction of Bacillus Pumilus for ~5 minutes exposure. The state of Bacillus Pumilus on quartz glass was observed by scanning electron microscopy (SEM) with and without exposure, which is showing the surface of Bacillus Pumilus has some damage by the plasma treatment, and no damages are seen in Bacillus Pumilus by just setting in vacuum. From these experimental results, some possible effective options for the plasma sterilization systems are discussed, such as H2O vapor mixture to generate dense OH radicals, Ar or He gas usage, and electron bombardment by the positive high voltage pulses.
PS-TuP-31 Study on the Characteristics of Neutral Species in the Low Angle Forward Reflected Neutral Beam Etching System
M.J. Chung, D.H. Lee, N.G. Cho, G.Y. Yeom (Sungkyunkwan University, Korea)
submicron semiconductor devices as well as future nanoscale devices. To avoid the charge-related damage, several low-damage processes have been proposed and one of the techniques to avoid the problem is to use neutral beam etching. One of the techniques fabricating a neutral beam is to use a low angle reflection of the ion beam where ions extracted from the ion source are neutralized by a low angle reflection during the reflection. Previous study showed that, by the reflection of the ion beam at 5 degree angle of incidence, most all of the ions could be neutralized. The degree of neutralization was similar to the all of the gases used in the experiment such as SF6, NF3 and CF4 which can be used for the etching of SiO2 and Si. Also, using radical beams from these gases, nearly vertical SiO2 etching could be obtained. Even though the ions reflected at the reflector were proven to be neutralized, the energy distribution of these reflected neutrals and the possibility of cracking after reflection for reactive gases such as SF6, NF3, and CF4 need to be investigated. In this study, energy and species of neutrals generated by the low angle forward reflection of reactive ions were analyzed by means of direct sampling using a quadrupole mass spectrometer (QMS) with double ion energy analyzers modified to detect neutral energy and species. Using this modified mass spectrometer, the concentration and energy distribution of each neutral species were investigated as a function of rf power, gas flow rate, and acceleration/extraction voltage of the ion gun for various reactive gases. The correlation of the etch characteristics of SiO2 and Si with the characteristics of the measured incident neutral species were investigated to study the etch mechanism of Si and SiO2 using the low angle reflection technique.
PS-TuP-32 Improvement of Luminance and Luminous Efficiency through the Optimum Gas in AC Plasma Display Panel
S.J. Lee, H.-J. Hwang (Chung-Ang University, Korea)
The luminance and luminous efficiency improvement is a key issue for making a plasma display into a large flat panel device. We suggest a new combination of the mixture gas, in order to find the optimum mixture gas in plasma display panel. The influences of Ar, Kr addition to Ne{96%}-Xe{4%} and He{70%}-Ne{27%}-Xe{3%} mixture gases are experimentally investigated for surface discharge of alternating current plasma display panel. When rare Ar { 0.01% - 0.1% }, Kr { 0.01% - 0.1% } are added Ne-Xe and He-Ne-Xe mixture gases, the luminance increases over 20% and luminous efficiency increases over 25% at 200Torr. It is sure that luminance and efficiency are effected by penning electrons. Also, this influence of Penning effect is shown by increased wall charge { 15% - 25% } which is experimentally measured in plasma display panel.
PS-TuP-33 Surface Cleaning of Organic Materials on Metal by Atmospheric Pressure Plasma
C.H. Yi, Y.H. Lee (SungKyunKwan University, Korea); E.S. Choi (LG-PRC); H.C. Woo (Korea Vacuum Tech.); G.Y. Yeom (SungKyunKwan University, Korea)
Due to the various advantages of the use of atmospheric pressure, recent studies on the surface cleaning of organic materials are concentrated on the atmospheric pressure plasmas instead of low pressure plasmas. In this study, atmospheric pressure plasmas were generated using a capillary dielectric covered electrode and AC power supply(3-15kV) of low frequency to clean organic materials on metal surfaces such as Ag and Cu and the effects of process conditions and cleaning gases on the cleaning properties have been investigated. As the cleaning gases, He and O2 were used as the ignition gas and cleaning gas, respectively. In addition to these gases, N2, Ar, and SF6 were added to improve the effect of surface treatment. The small addition of O2 to He increased the surface cleaning rate due to the increase of oxygen radicals in the plasmas, however, the further addition of oxygen decreased the surface cleaning rate possibly due to the decrease of plasma density by the formation of oxygen negative ions between oxygen molecules and electrons in the plasma. The additional mixture of N2 to O2/He further increased the surface cleaning possibly due to the increased chemical reaction with surface contaminants resulting in the increased volatilization and removal from the surface. Surface characteristics after the plasma treatment were investigated using X-ray photoelectron spectroscopy (XPS) and showed the decrease of carbon contaminants on the metal surface by the atmospheric pressure plasma treatment. Decrease of contact angles of water on the plasma cleaned surface was also observed.
PS-TuP-34 A New Design in Atmospheric Plasma Generation Improves Versatility for Surface Treatment Applications in Industry
D. Chrysostomou, S. Goloviatinskii (TePla AG, Germany)
Inexpensive materials, with excellent applied bulk properties, often require surface modification to improve bondability and printability. Plasma treatment is an established industrial method for such surface modifications. Use of atmospheric plasma is more cost effective than low pressure systems and can be easily operated in continuous mode. This presentation introduces a novel design in atmospheric plasma generators that overcomes many of the disadvantages previously associated with this treatment method. A low current , 100 - 250 mA, high voltage, 1000 - 1700 V, pulsed DC arc discharge generator permits electrode construction with small dimensions. The light weight of each electrode assembly, 200 g, allows low cost operation by robotic systems. Fast point-to-point processing is possible due to very short plasma ignition times. The electrode design confines current and voltage within the discharge chamber and ensures no electrical charge transfer to the treatment surface (critical for metals and metal/dielectric hybrids in wire bond applications). Very high density equilibrium plasma, 100 W/cm3, ensures uniform and highly effective treatments at high speed, up to 2m/sec for polycarbonate, acrylic, and silicone materials. The electrode construction produces a low temperature discharge without generating UV or ozone. NOx levels are in the order of 100 ppm and are managed by standard filter systems. XPS analysis shows no contamination of sputtered electrode material, an essential requirement for medical, optical, and IC applications. Treatment widths of up to 40 mm are possible with a single power supply, and these systems can be further arrayed for extended treatment widths. Current electrode lifetimes exceed 1500 hours.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS2002 Schedule