AVS2002 Session DI+EL-ThA: Processing and Properties of Dielectric Materials

Thursday, November 7, 2002 2:00 PM in Room C-107

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic DI Sessions | Time Periods | Topics | AVS2002 Schedule

Start Invited? Item
2:00 PM DI+EL-ThA-1 Plasma Etch Processes for Ferroelectric Memory Integration
F.G. Celii, M. Thakre, S. Summerfelt, S. Aggarwal, J.S. Martin, K.R. Udayakumar, T.S. Moise (Texas Instruments)
Embedded ferroelectric memory has the potential to enable increased functionality, reduced power, and potentially lower cost for portable electronics applications. In recent years, several companies have demonstrated lateral scaling of ferroelectric capacitors needed to realize high-density capacitor arrays consistent with low-cost requirements. In this paper, we summarize our current status towards integration of FeRAM capacitors into a CMOS flow, with emphasis on the etch processes. We review the various schemes for FeRAM integration and highlight our selected multi-height Via approach. In this approach, we define the Ir / PZT / Ir capacitors by a combination of hardmask and capacitor stack etches. Following encapsulation and interlevel dielectric deposition, the Via-0 pattern is applied and etched, utilizing a high-selectivity oxide etch to give the bi-level Via-0 etch profile. Electrical results from integrated and non-integrated capacitors will be presented.
2:20 PM DI+EL-ThA-2 Electrical Properties of the Bi4-xLaxTi3O12 Films Etched in Cl2/Ar Inductively
D.P. Kim, C.I. Kim, K.T. Kim (Chung-Ang University, Korea); A.M. Efremov (Ivanovo State University of Chemistry and Technology, Russia)
For last decade, Bi-layered perovskites materials including SrBi2Ti2O9 and Bi4-xLaxTi3O12 (BLT) show high resistance to polarization fatigue due to Bi2O2 layers, which reduce space charges and the unpinning of domain walls. The BLT of 200 nm was spun-coated on the Pt/Ti/SiO2/Si substrate by MOD. Pt thin films, which used as not only the top electrode but also as physical mask, were deposited on the BLT films. However, there is no report on the electrical characteristics of BLT thin films after etching process. BLT thins films were etched in Cl2/Ar using ICP due to easy control bias power. The etch rates and selectivity of BLT thin films were investigated as a function of gas mixing ratio, rf power and dc-bias voltage. With adding 20 % Cl2 in Ar plasma, increasing rf power and dc bias voltage and lowering pressure, the etch rate of BLT increased. To understand the effects of etching parameters on the etch rates of BLT thin films, the atoms of Cl and the ions of Ar were investigated in Cl2/Ar plasma using optical emission spectroscopy and Langmuir probe. The surface of the etched BLT was investigated with x-ray photoelectron spectroscopy. To estimate electrical properties of BLT after etching process, the etched species were characterized with measuring leakage current using semiconductor parameter analyzer [HP4145B] and P-E loops of Pt/BLT/Pt capacitor using precision work station. According to our experiment, the etch rate of BLT highly depends on removing etch-product (LaCl2: Tm = 2700 °C) effectively. After etching process, we obtained low remnant polarization value and high leakage current density compared with virgin sample. The degraded electrical properties of BLT were recovered after annealing at 800 °C for 1 hour.

Acknowledgement This work was supported by grant No. R01-2001-00268 from the Korea Science & Engineering Foundation.

2:40 PM DI+EL-ThA-3 Formation of Al Oxynitride Alloys by Low-temperature Remote Plasma Nitridation
C. Hinkle, G. Lucovsky (North Carolina State University)
Remote rf plasma nitridation of plasma deposited Al2O3 films was studied. Online Auger electron spectroscopy (AES), x-ray photoelectron spectroscopy (XPS), and nuclear resonance profiling (NRP) are used to characterize the composition and spatial distribution of the resultant films. Al2O3 films were deposited by remote plasma enhanced chemical vapor deposition (RPECVD). Nitridation was carried out using 30 W rf power and a substrate temperature of 300 C. Nitrogen was introduced upstream diluted with Helium in a 60:160 ratio, and the process pressure was varied from 0.0325 Torr to 0.3 Torr. Nitridation at lower process pressures allows the plasma to extend into the processing chamber and results in greater nitrogen incorporation. Previous studies of nitrided SiO2 have shown different fundamental nitridation mechanisms depending on process pressure and identified through different nitridation kinetics. In those experiments, low pressure nitridation in the plasma glow resulted in top surface nitridation by N2+ ions while high pressure nitridation with the plasma confined in the generation tube produced uniform nitridation throughout the film via N atoms. A kinetics study of the plasma-nitrided Al2O3 films shows the data to fit an expression of the form, [N] = A {1-exp(-BtN)}, where A increases inversely and exponentially with process pressure, B is a time constant that remains constant (within 10%) as process pressure changes, and tN is the nitridation time in minutes. The fact that the time constant remains constant suggests that there is a single mechanism for the nitridation of Al2O3 that is associated with the N2+ ions that impinge on the oxide film.
3:00 PM DI+EL-ThA-4 Heterostructured Cu-Based Electrode for High-Dielectric Constant Oxide Thin Film Devices
W. Fan (Northwestern University); O. Auciello, S. Saha, J.A. Carlisle, D.M. Gruen (Argonne National Laboratory); R.P.H. Chang (Northwestern University); R. Ramesh (University of Maryland)
Copper (Cu) has recently been introduced as an interconnect material in integrated sub-micron circuit technology, due to its low resistivity and high electro- and stress-migration resistance. The main problems inhibiting its application as an electrode material in high-dielectric constant (k) thin film capacitors are the Cu oxidation and diffusion during the growth of the high-k layer at relatively high temperature in an oxygen environment. To overcome these problems, heterostructured Ti-Al/Cu/Ta multilayers were fabricated on SiO2/Si substrates using ion beam sputtering deposition. The Ta layer acts a diffusion barrier to prevent high temperature-induced diffusion of Cu into the Si substrate, while the amorphous Ti-Al alloy layer provides the protection against oxidation of the Cu film during growth of the high-k materials. The diffusion and oxidation resistance of the Cu-based heterostructured electrode layer was investigated using a variety of complementary characterization techniques, including x-ray photoelectron spectroscopy (XPS), field-emission scanning electron microscopy (FE-SEM), x-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS), and four-point probe sheet resistance measurements. Analytical results showed that the Cu/Ta heterostructure remained intact through the annealing in 6 mTorr oxygen up to 600 °C. A thin oxide layer, formed on the Ti-Al surface, effectively prevented the oxygen penetration toward underneath layers. To test the feasibility of the proposed heterostructured electrode integrated with high-k thin films, complex oxide (Bax√sub 1-x)TiO3 (BST) layers were then deposited on Ti-Al/Cu/Ta by magnetron sputtering. Polycrystalline BST film was obtained at 500 °C and 600 °C, and the measured permittivity and leakage current density were 170 ~ 330 (at zero bias) and 10-6 ~ 10-9 A/cm2 (at 100 kV/cm) respectively.
3:20 PM DI+EL-ThA-5 Investigation of the Interfacial Region of (Ba,Sr)TiO3 Thin Films Deposited on Pt Substrates by MOCVD
T.C. Kaspar (University of Washington); L.V. Saraf, C.L. Aardahl, J.W. Rogers, Jr. (Pacific Northwest National Laboratory); T.S. Dory (Intel Corporation)
Thin films of (Ba,Sr)TiO3 (BST) have been extensively investigated as high-permittivity materials for microelectronics applications such as dynamic random access memory (DRAM) and advanced packaging components. By controlling the bottom electrode composition, electrode/film interface, and film stoichiometry and structure, the dielectric properties of the film can be tailored. In this study, BST thin films are deposited by MOCVD at low temperatures (500-575°C) using liquid delivery of metalorganic β-diketonate precursors on Pt/Ti/Si(100), Pt/Cr/Si(100), and MgO(100) substrates. A (Ba,Sr)TiO3 film deposited on Pt/Ti/Si with a bulk composition of (Ba+Sr)/Ti = 57 / 43 forms a thick titanium-rich interfacial region at the platinum interface, with a composition of (Ba+Sr)/Ti = 36 / 64, which adversely affects the dielectric properties of the film (εr = 84). Since titanium enrichment is not observed in deposition on MgO substrates, the unique precursor chemistry that occurs on the platinum surface plays a role in the formation of the interfacial region. To better understand the effect of titanium non-stoichiometry on the dielectric properties, a BST film was deposited with a titanium-rich composition of (Ba+Sr)/Ti = 35 / 65. After annealing at 750°C, the film exhibited a low dielectric permittivity of εr = 92 and a leakage current density of JL = 8.7x10-5 A/cm2. The mechanisms of excess titanium incorporation in the interfacial region, its effect on the dielectric properties, and its reduction or elimination are discussed.
3:40 PM DI+EL-ThA-6 Reduction of the k Value of the Low k Polyimide Film by Plasma Hydrogenation
Y. Kuo, T. Chung (Texas A&M University)
For advanced VLSICs, the multi-level interconnection structure requires two types of materials: a low k dielectric and copper metal. Polyimide is one of the few dielectrics that have a low k value, e.g., < 3, and can stand a high annealing temperature, e.g., > 350°C. Previous, it was reported that when a polymer thin film was exposed to a hydrogen plasma, its material properties were drastically changed.1 The hydrogenated film can be applied to many new areas. In this paper, authors report a plasma hydrogenation method that improves the dielectric characteristics of a low k polyimide thin film. We investigated the influence of the plasma process parameters to physical properties, such as the k value, the leakage current, and morphology, and chemical structure, such as the composition and bonding states, of a fluorinated polyimide film. The result shows that the k value was lowered from 2.7 to 2.3 after the hydrogenation process while the leakage current was still low, e.g., 10-9 Amp. Hydorgenation is an effective method in improving the dielectric characterists of the low k polyimide film. The process is compatible with current semiconductor processes.


1Y. Kuo, "Plasma Swelling of Photoresist," Jpn. J. Appl. Phys., 32(1), 1AB, L126, 1993.

4:00 PM DI+EL-ThA-7 Materials Transformation and Kinetics in the Formation of Porous Low-K Polymer Dielectrics for Advanced Interconnect Technology
P. Lazzeri, L. Vanzetti, M. Bersani, M. Anderle (ITC-irst, Italy); J.J. Park, Z. Lin, G.Y. Yang, R.M. Briber, G.W. Rubloff (University of Maryland); R.D. Miller (IBM Research)
The advance of Si ULSI technology requires the integration of very low K materials (i.e., low dielectric constant) into Cu Damascene interconnect schemes. To produce such low-K materials spin-casting and curing of multi-component polymeric precursor materials to form nanoporous films through selective degradation of one of the components (termed the porogen) is an attractive option, but process reproducibility and control, microstructure, and sensitivity to contaminating ambients during processing all present serious manufacturability challenges. We have used time-of-flight SIMS (ToF-SIMS) and XPS to investigate the chemical composition of a leading low-K candidate, polymethylsilsesquioxane (PMSSQ), and porous versions of PMSSQ, as a function of curing treatment to characterize reaction kinetics which accompanies formation of the low-K matrix and evolution of the volatile porogen to form a nanoporous microstructure. The PMSSQ matrix, with an average composition of Si(CH3)O1.5, shows only small changes in chemical composition upon curing. The formation of the nanoporous PMSSQ involves the degradation of the added porogen, poly(methylmethacrylate-co-dimethylaminoethylmethacrylate) (PMMA-co-DMAEMA) at elevated temperatures. The degradation products of the porogen are also evaluated by means of ToF-SIMS. The loss of the porogen and the evolution of the contaminants upon curing are observed to primarily occur in the range 125-450°C. These results show that such surface analysis methods may reveal the kinetics of critical materials transformations in these complex inorganic-organic hybrid systems, which are required for establishing the manufacturability of porous low-K dielectrics.
4:20 PM DI+EL-ThA-8 Fluorinated Amorphous Carbon Films Prepared by Plasma Enhanced Chemical Vapor Deposition for Solar Cell Applications
L. Valentini (University of Perugia, Italy); V. Salerni (University of L'Aquila, Italy); I. Armentano, J.M. Kenny (University of Perugia, Italy); L. Lozzi, S. Santucci (University of L'Aquila, Italy)
Amorphous carbon a-C or a-C:H and/or diamond-like-carbon (DLC), has attracted attention as an environmentally benign and economically viable optoelectronic device material over others such as amorphous silicon (a-Si and/or a-Si:H) due to various advantage and therefore possibility to replace the existing technology based on the a-Si/a-Si:H.1 Heterojunction diodes fabricated by plasma enhanced chemical vapor deposition of n-type fluorine-doped amorphous carbon (a-C:H:F) on p-type silicon are analyzed in terms of their electronic and photoresponse properties. The nature of heterojunction is confirmed by the rectifying current-voltage characteristic of a-C:H:F/p-Si junction. The photovoltaic behavior of the junction is presented as a function of both fluorine incorporation and thermal treatment of the a-C:H:F films after the deposition. The diodes made show a behavior dependent on the amount of the fluorine content. A better photovoltaic effects was observed from annealed a-C:H:F heterojunction structures. The optical and structural characterization performed by Raman spectroscopy and UV-VIS transmittance on films after the thermal treatment indicates that this behaviour is most likely due to an extended graphitization.


1H. A. Yu, Y. Kaneko, S. Yoshimura, and S. Otani, Appl. Phys. Lett. 68 (1996) 547.

4:40 PM DI+EL-ThA-9 Dopant Penetration Studies in Hf Based Gate Dielectrics from Doped Polysilicon Films: Effect of Nitrogen in Penetration Robustness
M.A. Quevedo-Lopez, H. Zhang, M.J. Kim, M. El-Bouanani, B.E. Gnade, R.M. Wallace (University of North Texas); M.R. Visokay, A. Li-Fateau, J.J. Chambers, A.L.P. Rotondaro, L. Colombo (Texas Instruments Inc.)
As the aggressively scaling of CMOS technology continues, high-κ gate dielectrics become one of the solutions in providing increased capacitance without remarkable increase in gate leakage current. However, issues such as thermal stability1 and dopant penetration still require further study. Hf based films have been proposed as suitable candidates for advanced gate dielectric applications.2 However, dopant penetration (B, As, P) following dopant activation annealing needs to be investigated. Recently, nitrogen incorporation in HfSixOy has been shown to be an efficient way to improve the thermal stability of Hf-silicate films, without compromising the electrical performance.3 Dopant penetration studies (experimental and modeling) of boron, arsenic, and phosphorous from doped poly-crystalline silicon (poly-Si) through 4-5 nm thick HfSixOy and HfSixOyNz into Si after aggressive annealing will be presented. XPS, HRTEM, RBS, and DSIMS results are presented. Dopant diffusivities in the dielectric films are calculated by fitting the dopant profile in the Si substrate to a reported model.4 Implications for the use of these films as high-κ gate dielectrics on CMOS processing are also discussed. This work was supported by the Texas Advanced Technology Program, the Semiconductor Research Corporation, Texas Instruments, and DARPA.


1 M. Quevedo-Lopez, M. El-Bouanani, S. Addepalli, J. L.Duggan, B. E. Gnade R. M. Wallace M.R.Visokay, M. Douglas, M.J. Bevan, and L. ColomboAppl. Phys. Lett. 79 (2001) 2958.
2 For a review, see: G.D. Wilk, R.M. Wallace, and J. M. Anthony. J. Appl. Phys. 89, 5243 (2001).
3 M.R. Visokay, J.J. Chambers, A.L.P. Rotondaro, A. Shanware, and L. Colombo, Appl.. Phys. Lett. 80, 3183 (2002).
4 C. T. Sah, H. Sello, and D. A. Tremere, J. Phys.:Condens. Matter. 11, 288 (1959).

5:00 PM DI+EL-ThA-10 Nanoporous MSSQ Films Characterised by Surface Acoustic Wave Spectroscopy and Brillouin Light Scattering
C.M. Flannery (Colorado School of Mines); T. Wittkowski, K. Jung, B. Hillebrands (Universitaet Kaiserslautern, Germany); M.R. Baklanov (IMEC, Belgium); D.C. Hurley (National Institute of Standards and Technology)
Nanoporous methylsilsesquioxane films are a leading candidate for low dielectric constant (low-κ.) materials for microelectronic interconnect. However, mechanical strength reduces rapidly with lower density.(increasing porosity), yet there is a lack of techniques to characterize these properties in the κ~2 range. This work reports application of surface acoustic wave spectroscopy and Brillouin light scattering to characterization of the density/porosity and Young's modulus for a range of methylsilsesquioxane films from different manufacturers. Dispersion of laser-generated surface acoustic waves detected by both piezoelectric and optical methods yields density and stiffness measurements which are independently verified by specular X-ray reflectivity, ellipsometric porosimetry and Brillouin spectroscopy. Brillouin results also show that attenuation is related to pore size. Nanoindentation measurements consistently overestimate stiffness and we discuss why this is so. The behaviour of 3 sets of films show different stiffness-porosity relationships, the initially stiffer materials declining more rapidly with increasing porosity than the softer materials. This has important consequences for stiffness properties in the κ<2 region.
Time Period ThA Sessions | Abstract Timeline | Topic DI Sessions | Time Periods | Topics | AVS2002 Schedule