AVS2002 Session TF-TuA: Atomic Layer Deposition - Oxides

Tuesday, November 5, 2002 2:00 PM in Room C-101

Tuesday Afternoon

Time Period TuA Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2002 Schedule

Start Invited? Item
2:00 PM TF-TuA-1 Growth Kinetics and Scaling of High-K Materials Deposited by Atomic Layer Deposition
R.J. Carter (IMEC, Belgium)
The aggressive scaling of MOS devices is quickly reaching the fundamental limits of SiO2 as the gate insulator. The replacement of SiO2 with a high dielectric constant (high-k) material allows for an increase in the physical thickness of the gate insulator, while maintaining a low equivalent oxide thickness (EOT) and low direct tunneling current. The high-k material of choice will likely be a deposited film, which makes the replacement of SiO2, a thermally grown layer, even more challenging. Atomic layer deposition (ALD) is a well-controlled surface saturating process using gas-solid interactions to deposit thin films. The technique results in covalent bonding between the gaseous precursors and the surface bonding sites. ALD provides highly uniform layers and the possibility to deposit mixed oxides. We have observed that the starting surface is extremely important to deposit high quality films with ALD. The surface termination of the substrate affects the growth kinetics of high-k materials in terms of a growth inhibition time. Longer inhibition times have shown detrimental effects when depositing ultra-thin high-k layers, e.g. films are not fully closed. Our results show that the ideal starting surface for ALD is an OH-terminated silicon surface, which is readily achieved with a chemical oxide. In terms of scaling, the benefit of using a high-k material is compromised if a lower dielectric constant insulator is also present in the gate stack. In order to scale to EOT’s below 1 nm with a chemical oxide present, the thickness of the high-k material must be significantly reduced. As a result the tunneling current through the gate stack will increase. By optimizing the surface preparation, we have achieved sub-1 nm EOT’s while maintaining leakage currents below 1 A/cm2 at -1 volt. Poly-Si integration with high-k materials remains a challenge. The flexibility of ALD to deposit mixed oxides provides options to fabricate scalable Poly-Si/high-k gate stacks.
2:40 PM TF-TuA-3 Effect of Growth Temperature on the Properties of ALD Grown ZrO2 Films
G. Scarel, E.K. Evangelou, S. Ferrari, S. Spiga, C. Wiemer, M. Fanciulli (Laboratorio MDM-INFM, Italy)
Zirconium dioxide films, 15 nm thick, are grown by atomic layer deposition (ALD) using zirconium tetrachloride and water as precursors. A relatively high dielectric constant (22), wide band gap and conduction band offset (5.8 eV and 1.4 eV respectively) indicate zirconium dioxide as one of the most promising candidates to substitute silicon dioxide as gate dielectric in complementary metal-oxide-semiconductor devices. However, crystallization occurring both during deposition and after annealing treatment affects charge mobility and induces flat band voltage shifts. Chlorine ions might contribute to the same effects and also to an increase of leakage current related to the introduction of extra levels in the band gap. These ions are produced during the stage of the ALD cycle in which the ZrCl4 precursor reacts with the growing surface. To address the structural and morphological properties and their effects on the electrical ones, ZrO2 films are grown at different substrate temperatures: 150 C, 200 C, 250 C, 300 C and 350 C. Relevant modifications of film structure with changing the substrate temperature during growth are expected because the density of the reactive sites (mainly Si+1 - (OH)-1 bonds) decreases with increasing temperature (Y.B. Kim et al., Electrochem. and Solid State Lett. vol. 3 (2000) p. 346). Preliminary results suggest, for example, that the amorphous component of the films increases with higher density of Si+1 - (OH)-1 bonds in the starting growth surface obtained at lower substrate temperatures. The size and consequences of these modifications are investigated in this work using x-ray diffraction and reflectivity, far infrared transmission spectroscopy and atomic force microscopy. Time of flight - secondary ion mass spectrometry is used to study chlorine and oxygen diffusion and to what extent the behavior of these two species is related. Electrical properties are determined from C-V and J-V characteristics.
3:00 PM TF-TuA-4 ZrO2 Thin Film Growth by CVD from Tetrakis-diethylamino-zirconium for High-k Gate Dielectrics
I. Nishinaka, T. Kawamoto, Y. Shimogaki (University of Tokyo, Japan)
In order to improve the performance of ULSI, the scale of MOS (Metal-Oxide-Semiconductor) transistor tends to be reduced. Gate dielectric scaling will require new insulating materials with high dielectric constants to provide increased capacitance without increasing the gate leakage current by direct tunneling. ZrO2 films were investigated as high-k gate insulators for possible gate dielectric applications. We employed tubular CVD reactor system to investigate the kinetics of ZrO2-CVD from tetrakis-diethylamio-zirconium (TDEAZ) as zirconium source. The growth rate profile in the tubular reactor strogly indicated that TDEAZ directly deposited on the surface and the decomposition of TDEAZ by gas phase reaction did not occur. The step coverage was constant independent of the substrate position, and the sticking probability at 340°C estimated from the step coverage profile by Monte Carlo simulations was 0.004. The as deposited film structure was amorphous at 300-340°C. As the films were subjected to the rapid thermal oxidation, the film structure changed amorphous into monoclinic and then into amorphous again by increasing the annealing temperature. This structural change resulted from the decrease of the residual nitrogen and carbon, and the increase of the silicon that diffused from the substrate into the ZrO2 films. After annealing at 1000°C, Si concentration in the film was about 40%, and Zr was 10%. The initial growth process of CVD by TDEAZ was investigated by changing the deoposition time, and surface coverages of Zr and Si were examined using XPS. The time dependencies of XPS signal intensities of these elements revealed that the ZrO2 film growth from TDEAZ is not island-like growth, but is layer-by-layer growth. This initial growth behavior may be applicable to ALD (Atomic Layer Deposition).
3:20 PM TF-TuA-5 Atomic Layer Deposition of Zirconium Silicate Films Using Zirconium Tetrachloride and Tetra-n-butyl Orthosilicate
S.W. Rhee, W.K. Kim, S.W. Kang (Pohang University of Science and Technology, Republic of Korea); N.I. Lee, J.H. Lee, H.K. Kang (Samsung Electronics Co., Ltd., Republic of Korea)
Atomic layer chemical vapor deposition (ALCVD) of zirconium silicate films with a precursor combination of ZrCl4 and TBOS (tetra-n-butyl orthosilicate) was studied for high dielectric gate insulators. Deposition conditions, such as deposition temperature and pulse time for purging and precursor injection, on the deposition rate per cycle and composition of the film were studied. At 400°C, the growth rate was saturated to 1.35 Å/cycle above 500 sccm of the argon purge flow rate. The growth rate, composition ratio ((Zr/Zr+Si)), and impurity contents (carbon and chlorine) were saturated with the increase of the injection time of ZrCl4 and TBOS and decreased with the increase of the deposition temperature from 300 to 500°C. The growth rate, composition ratio, carbon and chlorine contents of the Zr silicate thin film deposited at 500°C were 1.05 Å/cycle, 0.26, 3.3 at.%, and 1.5 at.%, respectively. It seemed that by using only zirconium chloride and silicon alkoxide sources, impurity content of carbon and chlorine could not be lowered below 1%. Also it was found that the incorporation rate of metal from halide source was lower than alkoxide source. The electrical properties were characterized by C-V and I-V measurements. Interface was also observed with high resolution transmission electron microscopy (HRTEM).
3:40 PM TF-TuA-6 Characteristics of ZrO2 and HfO2 Gate Oxides Deposited by Atomic Layer Deposition (ALD) Using Metal Organic Precursors with Various Reactant Gas Sources
J. Han, J. Koo, S. Choi, Y. Kim, H. Jeon (Hanyang University, Korea)
High dielectric constant materials have recently gained considerable attention as a possible alternative to SiO2 gate dielectric. The main advantage of high dielectric constant materials is increasing the physical thickness to reduce the tunneling leakage current and thus improve the reliability while scaling the capacitance equivalent oxide thickness below the direct tunneling limit of SiO2. Among the high-k materials, ZrO2 and HfO2 are considered as a potential alternative to SiO2 gate dielectric below 2nm scale due to the relatively high dielectric constant, large band gap, and compatibility with the manufacturing of integrated circuits. For these reasons, we investigated the physical, chemical and electrical characteristics of ZrO2 and HfO2 gate dielectrics deposited by the atomic layer deposition (ALD) method. ZrO2 and HfO2 films were deposited using Zr(Net2)4 and Hf(Net2)4 as the Zr and Hf-precursors with various reactant gas sources such as the oxygen gas, oxygen plasma and water vapor. ZrO2 and HfO2 films after deposition were rapid thermal annealed at 800°C for 10 seconds in N2 ambient and post-metallization annealing (PMA) was performed in an H2+N2 atmosphere at 450°C for 30minutes. The microstructure and interface morphology of ZrO2 and HfO2 films were investigated using cross-sectional transmission electron microscopy (XTEM), Auger electron spectroscopy (AES), X-ray photoelectron spectroscopy (XPS) and atomic force microscope (AFM) were utilized to analyze the chemical composition variations, impurity contents, chemical bonding characteristics, and surface morphologies. The electrical properties and reliability characteristics including equivalent oxide thickness, hysteresis, leakage current and capacitance were analyzed by current-voltage (J-V) and capacitance-voltage (C-V) measurements.
4:00 PM TF-TuA-7 Trimethylaluminum-initiated ALD Growth of Al2O3 on Si: An In-situ Infrared Study
M. Frank (Agere Systems and Rutgers University); Y.J. Chabal, G.D. Wilk (Agere Systems)
The initial phase of alternative gate dielectric growth on Si by atomic layer deposition (ALD) is critical for the final Si-dielectric interface properties. The ubiquitous and deleterious presence of an interfacial SiO2 layer has motivated deposition on H-terminated Si surfaces. However, even for these surfaces a thin SiO2 layer is formed during growth. It is believed to arise from the initial reaction of water (forming OH and Si-O-Si), and to be necessary for subsequent metal precursor reaction. We have designed a model reactor to perform an in-situ infrared absorption study of Al2O3 growth on both HF-etched and oxidized Si from trimethylaluminum (TMA, Al(CH3)3) and water at 300°C. We have thus observed all relevant species (CH3, OH, Si-H, oxides) present at the surface up to 16 ALD cycles. We find that H-terminated Si(100) surfaces are neither oxidized nor hydroxylated by water, in contrast to what is expected (yet, D2O experiments show H-D isotopic exchange and similar O2 exposures lead to oxidation of the H-terminated surface). Instead, TMA exposure leads to the formation of both Al-CH3 and Si-CH3 groups, with varying kinetics. Transfer of CH3 to Si predominantly occurs at Si step sites, and is absent on atomically smooth H/Si(111)-(1x1). Once Al is deposited, three reactions take place upon water exposure, each with different kinetics: replacement of Al-bonded CH3 by OH; transfer of CH3 from Al to Si; and the catalytic oxidation of Si. In contrast, Si-CH3 remains unaffected by water, and may therefore be responsible for C contamination at the Si/oxide interface. During subsequent TMA-water cycles, more interfacial SiO2 is formed, while Al2O3 growth proceeds according to the well-known self-terminated mechanism on all Si substrates. This leads to the formation of Al2O3 films equivalent to what is grown in commercial ALD reactors.
4:20 PM TF-TuA-8 Atomic Layer Deposition of Aluminum Oxide Using Dimethylaluminum Isopropoxide and Water
K.-S. An, S.S. Lee, W.T. Cho (Korea Research Institute of Chemical Technology, South Korea); J.M. Kim (Korea Institute of Machinery & Materials, South Korea); K. Sung, Y. Kim (Korea Research Institute of Chemical Technology, South Korea)
Dimethylaluminum isopropoxide (DMAI), (CH3)2AlOCH(CH3)2, a precursor originally developed for the metal organic chemical vapor deposition of alumina, was adopted as a new precursor for growing aluminum oxide thin films on HF-treated Si and chemically-induced SiO2/Si substrates by atomic layer deposition (ALD). This precursor is stable for a prolonged period of storage time under inert atmosphere (such as in nitrogen or argon) and does not react vigorously in air, and therefore is easy to handle and safe, without causing hazards. The self-limiting ALD process by alternate surface reactions of DMAI and H2O was confirmed from thickness measurements of the aluminum oxide thin films as a function of DMAI pulse time and also as a function of the DMAI-H2O cycle. The growth rates on HF-treated Si and chemically-induced SiO2/Si were saturated to about 1.04 Å/cycle at the substrate temperature range of ~120-150 °C. Dependence of growth rate on H2O pulse time as well as substrate temperature was also monitored. The grown Al2O3 thin films were characterized for surface roughness, stoichiometry, and electrical properties by atomic force microscopy, Rutherford backscattering spectroscopy, and I-V measurements, respectively. X-ray photoelectron spectroscopy was also employed to investigate the oxidation states of the interfaces between the HF-treated Si substrates and the aluminum oxide films. In conclusion, our preliminary results verify the validity of dimethylaluminum isopropoxide as a new ALD source for aluminum oxide.
4:40 PM TF-TuA-9 Atomic Layer Deposition of HfO2 / Al2O3 Laminate Structure for Gate Dielectric Applications
J. Koo, J. Han, S. Choi, Y. Kim, H. Jeon (Hanyang University, Korea)
Hafnium oxide (HfO2) and aluminum oxide (Al2O3) have been widely investigated as an alternative gate oxide in sub-100nm metal-oxide-semiconductor technology due to its large band gap, good thermal stability, and relatively higher dielectric constant compared to SiO21. For these reasons, we investigated the characteristics of HfO2 / Al2O3 laminate structure as well as its physical, chemical and electrical properties for gate dielectric applications. HfO2 / Al2O3 films were deposited on p-type Si (100) substrate by atomic layer deposition (ALD) method. All samples after deposition were rapid thermal annealed at 800°C for 10 seconds in nitrogen ambient. And the platinum (Pt) layer with the thickness of about 1000 Å was deposited by e-beam evaporator and patterned to form the gate electrodes. Forming gas anneal was performed in an H2+N2 atmosphere at 450°C for 30 minutes. The electrical properties including equivalent oxide thickness, hysteresis, leakage current and dielectric constant were calculated and analyzed by using capacitance-voltage (C-V) and current density-voltage (J-V) measurements. For the evaluation of the physical and chemical characteristics of HfO2 / Al2O3 films were analyzed by cross-sectional transmission electron microscope (XTEM), atomic force microscope (AFM), Auger electron spectroscopy (AES) and X-ray photoelectron spectroscopy (XPS). This paper will discuss the systematic analysis of HfO2 / Al2O3 laminate films deposited by ALD for gate dielectric applications.


1E. P. Gusev, M. Copel, E. Cartier, I. J. R. Baumvol, C. Krug, and M. A. Gribelyuk, Appl. Phys. Lett. 76, 176 (2000).

5:00 PM TF-TuA-10 Room Temperature NH3-Catalyzed SiO2 Atomic Layer Deposition Using Sequential Exposures of TEOS and H2O
J.D. Ferguson, S.M. George (University of Colorado)
The atomic layer deposition of SiO2 has employed SiCl4 and H2O and required high temperatures and long exposure times. Amine catalysts have been shown to reduce the exposure lengths, lower the growth temperature to 300 K and increase the SiO2 growth rate. However, the reaction product, HCl, readily reacts with the amine catalysts to form a salt. The salt formation problem can be avoided using organometallic silicon precursors. NH3-catalyzed SiO2 ALD was accomplished using tetraethoxysilane (TEOS) based upon the following AB reaction sequence: A) SiOH* + Si(OCH2CH3)4 --> SiOSi(OCH2CH3)3* + CH3CH2OH B) Si(OCH2CH3)* + H2O --> SiOH* + CH3CH2OH SiO2 films were grown on high surface area ZrO2 and BaTiO3 particles using alternating exposures of TEOS and H2O at 300 K with NH3 as the catalyst. The sequential surface chemistry was monitored in a vacuum chamber using in situ transmission FTIR spectroscopy. The particles initially contained OH* surface species that were converted to Si(OCH2CH3)x* species by the first TEOS/NH3 exposure. The subsequent H2O/NH3 exposure converted the Si(OCH2CH3)* species to SiOH* species. Alternating TEOS/NH3 and H2O/NH3 exposures yielded Si(OCH2CH3)x* and SiOH* species, respectively, that sequentially deposit silicon and oxygen with atomic layer control. The repetition of the TEOS and H2O exposures in an ABAB... reaction sequence led to the appearance of bulk SiO2 vibrational modes that increased with the number of AB reaction cycles. After SiO2 deposition, the ZrO2 and BaTiO3 particles were examined using transmission electron microscopy (TEM). The TEM images revealed extremely uniform and conformal SiO2 films on both types of particles and growth rates of ~0.7 Å per AB cycle.
Time Period TuA Sessions | Abstract Timeline | Topic TF Sessions | Time Periods | Topics | AVS2002 Schedule