AVS2002 Session MS-MoA: Control Issues in Electronics Manufacturing

Monday, November 4, 2002 2:00 PM in Room C-109

Monday Afternoon

Time Period MoA Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS2002 Schedule

Start Invited? Item
2:00 PM MS-MoA-1 Process Optimizations of Electrochemically Deposited Copper Films
H. Simka, S. Shankar, R.P. Chalupa, V.M. Dubin (Intel Corporation)
Electrochemical deposition (ECD) of copper interconnects in state-of-the-art microelectronic devices currently involves the use of organic additives in the electrolyte to achieve complete feature fill with good film properties. Optimization of this complex process typically requires extensive experimental efforts and time. We present a novel model-based ECD optimization approach, which involves investigations of the physical phenomena due to additive species interactions, and shape-evolution simulations of Cu films. A new model, which accounts for the transient surface interactions of additives and their effects in deposition rate, has been developed. The model uses a boundary element method (BEM) approach to solve the species diffusion equations in the electrolyte domain, and a Eulerian-Lagrangian approach to track the growing surface. The model has been successfully applied to explain two previously published, independent datasets of copper thickness SEM profiles in ECD with multi-component additive systems. A physically consistent description of the observed fill behavior at different process conditions will be presented. Factors that are critical in achieving optimal ECD Cu deposition will be discussed, including effects of feature dimensions, Cu seed-layer coverage, and process conditions. Analysis of the seed-layer requirements for good ECD performance at various feature dimensions will also be presented.
2:40 PM MS-MoA-3 Real-Time Control of Ion Density and Ion Energy in Chlorine Inductively Coupled Plasma Etch Processing
C.H. Chang, K.C. Leou, C. Lin, T.L. Lin, C.W. Tseng, C.H. Tsai (National Tsing Hua University, Taiwan, ROC)
The advanced semiconductor fabrication requires more tighten process monitoring and control to improve production yield and reliability. Recently, advanced process control (APC), an in-situ sensor based methodology, has been applied to achieve the desired process goals in operating individual process steps. For instance, in etching of polysilicon using chlorine discharges, in order to obtain a desired etch profiles, the process often is operated at the ion-enhanced regime where the etch rate and etched profile are strongly dependent on the total ion energy flux incident on the wafer surface. Therefore, a better process control can be achieved if one can implement the real-time control of ion energy flux in etch processing. In this study, we have demonstrated experimentally the real-time multiple-input multiple-output (MIMO) control of both ion density and ion energy in etching of polysilicon using chlorine inductively coupled plasma. To measure relative positive ion density, the optical emission at 750.4 nm from trace amounts of Ar is used which is proportional to the total positive ion density. An rf voltage meter is adopted to measure the peak rf voltage on the electrostatic chuck which is linearly dependent on sheath voltage. One actuator is a 13.56 MHz rf generator having a maximum power of 5 kW to drive the inductive coil seated on a ceramic window, along with a L-type matching network to minimize the reflected power. The second actuator is also a 13.56 MHz rf generator to power the electrostatic chuck via a matching network. The two rf generator is locked in phase. The MIMO controller is designed by using Quantitative Feedback Theory (QFT), which compensates process drift, process disturbance, and pilot wafer effect. This system has been used to etch unpatterned polysilicon and silicon oxide. The experiment results showed that the MIMO control system has a better reproducibility in etch rate and uniformity compared with current industrial practice.
3:00 PM MS-MoA-4 Mathematical Approaches to Optimal Control of Transient Enhanced Diffusion
M.Y.L. Jung, R. Gunawan, R.D. Braatz, E.G. Seebauer (University of Illinois)
Excessive transient enhanced diffusion (TED) of boron in silicon during rapid thermal annealing has been a major inhibitor to forming ultrashallow junctions for CMOS device applications. TED typically gives rise to a trade-off between junction depth Xj and sheet resistance ρ as a function of process variables. For example, increasing the ramp rate β or decreasing the maximum spike temperature TM decreases Xj but increases ρ. This tradeoff suggests there are optimum values of β and TM to produce the best Xj and ρ. The optimization of the temperature program is posed as a minimization problem with the objective function Φ, which is a function of the junction depth and the sheet resistance. The objective is selected such that: Φ=Xj(T(t)) + wρ(T(t)) where w is a weighting factor. The constrained minimization problem is solved using sequential quadratic programming. Although current technology employs linear temperature trajectories, different parameterizations of the temperature program are used in the optimization to elucidate the true optimal trajectory. The rate of cooling is also included in the parameterization. All these calculations are performed using the process simulator FLOOPS. We describe how the kinetic parameters in this simulator were obtained using firmly grounded procedures for estimating rate parameters using the Maximum Likelihood Method together with multivariate statistics to quantify accuracy. We also describe a rigorous parameter sensitivity analysis by the finite difference method to investigate TED model behavior. These approaches lead to vast improvements in the ability of simulations to match experiment.
3:20 PM MS-MoA-5 Spatially Programmable Reactor Design: Toward a New Paradigm for Equipment Effectiveness
Y. Liu, J. Choo, L. Henn-Lecordier, G.W. Rubloff, R.A. Adomaitis (University of Maryland)
Conventional single-wafer CVD reactor designs employ showerhead gas inlets which distribute impinging gases across the wafer in an attempt to achieve across-wafer process uniformity. However, it is difficult to maintain acceptable manufacturing uniformity as process parameters are changed, or to compensate for equipment asymmetries that influence uniformity. We have developed a new approach which exploits spatial programmability of impingment gas flux and stoichiometry, using a multi-segment showerhead design that accommodates gas inlet, exhaust, and sensing in each element of a 2-D array, with two goals: (1) to achieve across-wafer uniformity at any desired process design point; and (2) to intentionally introduce across-wafer nonuniformity so as to carry out multiple experiments on a single wafer (then followed by retuning to achieve uniformity at the optimized process design point). We have constructed a three-segment prototype for initial proof-of-concept, parameter identification, and model validation. Experimental results for W CVD demonstrate both inter-segment and intra-segment deposition rate tunability, in accord with expectations from modeling and simulation. Spatially programmability of reactor design, if scalable to higher integration levels with effective sensing, actuation, and control systems, could bring forth a new paradigm in equipment design that enables rapid optimization, higher process performance at high uniformity, and design scalability to larger substrates and multiple technology generations.
3:40 PM MS-MoA-6 Monitoring and Control of Binary Gas Mixtures from Solid Phase MOCVD Sources using an Acoustic Sensor
L. Henn-Lecordier, J.N. Kidder, G.W. Rubloff (University of Maryland)
In-line acoustic sensors have been used for several years in MOCVD source delivery systems to monitor and control the upstream composition of binary gas mixtures obtained from temperature- and pressure-controlled "bubbler" vessels. Since the vapor pressures of some commonly used MOCVD solid sources is low, extending into the sub-Torr range, it becomes difficult to maintain a constant - but minute - concentration of reagent in a high flow of carrier gas. In this study, an Inficon Composer acoustic sensor was implemented to measure and control the concentration obtained from two solid phase sources using H2 as a carrier gas, including (1) trimethylindium (TMI), which is used to grow GaInAs III-V compound semiconductors for optoelectronics, and (2) bis(cyclopentadienyl) magnesium (Cp2Mg) which is used in part as a p-type doping element in nitride-based compound semiconductors for blue LED’s. Both sources are crystalline solids with low vapor pressures (2.5 and 0.04 Torr at 25°C respectively for TMI and Cp2Mg), which causes unstable sublimation/delivery rates and associated variability in composition and lattice mismatch. Using the acoustic sensor, reagent levels as low as 1.E-4 mol % in H2 were monitored and found in close correlation with the expected concentrations over a broad range of total pressure from 500 down to 60 Torr. This sensitivity suggests that source delivery control may be achievable to control (i.e., compensate for) variations in source delivery rate, e.g., adjusting the flow of the carrier gas through the source, diluting the binary mixture downstream of the source, or adjusting the gas density in the source.
4:00 PM MS-MoA-7 Dynamic Simulation and Optimization at the Unit Process Level for Environmentally Benign Semiconductor Manufacturing
S. Cho, W. Lei, G.W. Rubloff (University of Maryland)
Environmentally benign semiconductor manufacturing requires methodologies which enable co-optimization of manufacturing and technology metrics (such as process cycle time, consumables costs, and product quality) along with environmental (ESH) metrics. We have investigated this challenge at the unit process level, focusing on Cu CVD unit process and equipment, using a physically-based dynamic simulation approach which takes into account the process recipe and resulting time-dependent behavior of vacuum and gas flow, heat transfer, reaction chemistry, and equipment components and control systems. Higher temperature and pressure yield reduced cycle time and precursor consumption, producing a "win-win" situation for manufacturing and ESH metrics. In contrast, changes in precursor flow rate produce trade-off situations between these metrics; at higher temperatures, however, significant gain in precursor consumption is indicated at lower flow rate, with relatively small cycle time penalty. Energy consumption per unit film thickness is substantially reduced at higher temperature because the deposition rate of the thermally activated CVD process increases faster with temperature than does the heating power required at typical process conditions. These results at the unit process level demonstrate that the dynamic simulation approach (1) provides insights into complex physical/chemical system behavior and quantitative estimates for tradeoff analysis, and (2) reveals "win-win" situations in which ESH and manufacturing benefits may be achieved together. This work is supported by the U. Arizona NSF/SRC Center for Environmentally Benign Semiconductor Manufacturing.
4:20 PM MS-MoA-8 Data Handling in Semiconductor Manufacturing: Overall Approach to Correlate Yield, Process and Equipment Parameters
M. Horn, H. Melzner, D. Knobloch, F.H. Bell (Infineon Technologies AG, Germany)
Yield improvement in semiconductor manufacturing depends on several aspects. Major challenges are the robustness of design rules, improvement of the design for testability and stable manufacturing processes. For the later one, advanced process control (APC) featuring run-to-run control and Fault Detection and Classification (FDC) is seen as the most powerful technique to improve the in-line stability. However, correlation between yield (such as threshold voltage, saturation current, die functionality and reliability issues), process and equipment parameters suffer from economic handling of the huge amount of data. An overall approach from end-of-line electrical measurements to on-line process and equipment parameter detection is needed in order to simplify the data analysis. We show how the data analysis can be simplified using data extraction techniques, such as principal component analysis, that pre-selects the most significant yield related parameters and separates yield detractors with respect to sporadic and chronological events. These data can then be used to find possible root causes in process and equipment. Consequently, the APC parameters can then (1) be weighted towards yield significance and (2) be used to define the parameter set for run-to-run control and fault detection and classification. We will discuss examples that demonstrate how deviations in process and equipment parameters detracts yield, may cause reliability problems or does not influence yield and reliability at all. Examples are taken from aluminum metallization and EPI-Centura SiGe deposition processes.
4:40 PM MS-MoA-9 Improved Tool Utilization and Process Capability Through Improved Flow Verficiation Technique
S.A. Tison, S. Lu (Mykrolis Corporation)
Critical processes require accurate and reproduceable chemical delivery to acheive the necessary process capabilities. Continues reductions is device scaling requires improved process capabilities for high aspect ratios.1. Many dry etching processes require highly accurate and reproduceable delivery for flows below 10 sccm.2 Historically, gas delivery reproduceability was acheived using rate-of-rise chamber verification techniques. Two of the most common techniques are refered to as "Flow Verification" and "Flow Cal". These techniques use the chamber as an accumulation tank and measure the pressure rise with time. Through the use of the gas equation of state they derive the average delivered gas flow. With the introduction of 300 mm processes the chamber volumes have increased and some critical gas flows have decreased. These effects have resulted in long times to complete the rate-of-rise measurements with the subsequent reduction in tool availability. Data is presented which shows that improved high resolution capacitance diaphragm gages can be used to make the necessary measurements with lower accumulation pressures and improve equipment availability. For a typical etch system the "Flow Verification" sequence can be reduce by one hour per chamber. These process improvements are enabled by reducing uncertainies associated with parasitic effects such as thermal transpiration3 and other gage nonlinearities.


1 J. Givens et al., J. Vac. Sci. Technol. B12, 427 (1994)
2 M. Matsui et al., J. Vac. Sci. Technol. A20, 117 (2002)
3 K. Poulter et al., Vacuum, 33, 311 (1983).

Time Period MoA Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS2002 Schedule