AVS2001 Session EL-TuP: Electronic Materials Poster Session

Tuesday, October 30, 2001 5:30 PM in Room 134/135

Tuesday Afternoon

Time Period TuP Sessions | Topic EL Sessions | Time Periods | Topics | AVS2001 Schedule

EL-TuP-1 Fabrication of GaN Blue LED by a Laser Lift-Off(LLO) Method
D.W. Kim, C.H. Jeong (Sungkyunkwan University, Korea); Y.J. Sung (Samsung Advanced Institute of Technology); H.S. Kim (Samsung Electronics Ltd.); G.Y. Yeom (Sungkyunkwan University, Korea)
Recently, GaN-based optoeletronic devices such as light emitting diodes(LEDs) and laser diodes(LDs) in blue and ultraviolet wavelength regions have been studied intensively and also fabricated successfully. However, the contacts to GaN-based LEDs are currently made by depositing metal layers on the top of GaN-based LEDs, therefore, significant optical loss is inevitable. In this study, transparent conducting oxide such as indium tin oxide(ITO) was applied to n-GaN after the laser lift off(LLO) of the GaN quantum well device structures. Also, in this device, blanket Pd was deposited as a contact material to p-GaN. ITO and Pd were deposited at room temperature using a conventional electron beam evaporator. Thermal annealing at various temperatures and environments was followed in a tube furnace. The electrical and physical properties of ITO contact to laser lift-off n-GaN and Pd contact to p-GaN were investigated. Contact resistivities and I-V characteristics of the ITO and Pd contacts were measured to estimate the contact and electrical properties of ITO and Pd contacts fabricated on the laser lift-off n-GaN and p-GaN, respectively. The optical properties of the deposited and annealed ITO films were also investigated. GaN LEDs device performance fabricated by LLO was investigated and compared to conventionally prepared GaN-LEDs.
EL-TuP-3 Crystal Growth and Characterization of AgAlS2 Crystals for Blue Light Emitting Device
Y. Akaki, H. Komaki (Miyazaki University, Japan); M. Yoneta (Okayama University of Science, Japan); T. Ikari (Miyazaki University, Japan)
Among ternary chalcopyrite semiconductors, AgAlS2 may be promising material for blue light emitting device since the sample is a direct transition type and the bandgap of 3.1 eV at room temperature. However, it is well known that the chalcopyrite semiconductors have many intrinsic defects. The crystal growth of high quality is a difficult because of high melting point and ternary compound. Therefore, reports on the precise material characterizations of the AgAlS2 crystals are a few in comparison with other chalcopyrite semiconductors.In this work, the AgAlS2 crystals are grown by Hot-Press (HP) method at 400 ~ 700 °C for 1 h under high presser (10 ~ 100 MPa). One of the advantages of the HP method is that a crystal growth is easy at low temperature. The sizes of the samples are 2 cm in diameter. All samples indicate chalcopyrite structures, nearly stoichiometry and n-type by means of X-ray diffraction, electron probe microanalysis and thermoprobe analysis, respectively. In the photoluminescence spectra at liquid nitrogen temperature, donor-acceptor pair emission band may be remarkably observed. The samples have both donor and acceptor-types impurities.
EL-TuP-4 Effect of Substrate Position in i-ZnO Thin Film Formation to Cu(In,Ga)Se2 Solar Cell
T. Yamaguchi (Wakayama National College of Technology, Japan); T. Tanaka (Saga University, Japan); A. Yoshida (Toyohashi University of Technology, Japan)
Heterojunction devices based on Cu(In,Ga)Se2 thin films are considered to be one of leading candidates for low-cost photovoltaic power system. Laboratory-size devices by using the three-stage process have exceeded 18% conversion efficiencies.1 We have also attempted to fabricate solar cells based on Cu(In,Ga)Se2 thin films by using a thermal crystallization technique for large scale industrial production.2 For improvement in solar cell performance, it is effective to use a buffer layer with the suppression of the leakage current and the decrease in buffer absorption loss. ZnO thin films have attracted considerable attention for buffer films, because of their high resistivity, good optical transmittance from UV to near IR, and low-cost fabrication. In this study, ZnO thin films were prepared by rf magnetron sputtering of non-doped ZnO target in Ar gas under the various substrate positions and their films were applicated to solar cells. We have characterized ZnO thin films and investigated the solar cell performance. The resistivity and the full width at half maximum (FWHM) in XRD diffraction peak were rapidly changed depending on the substrate position. The characteristics of solar cells were correspondent to the change of the resistivity and the FWHM in ZnO thin films.


1
1 M.A.Contreras, B.Egaas, K.Ramanathan, J.Hiltner, A.Swartzlander, F.Hasoon and R.Noufi, Prog. Photovolt. Res. Appl. 7, 300 (1999).
2 T.Yamaguchi, T. Kobata, S. Niiyama, T. Nakamura, A. Yoshida, Tech. Digt. of PVSEC-12 (Cheju, 2001) to be published.

EL-TuP-5 Optical Characterization of AgInGaS2 Crystals for Nonlinear Optical Devices
K. Itani, H. Komaki (Miyazaki University, Japan); S. Chichibu (Tsukuba University, Japan); Y. Akaki, T. Ikari (Miyazaki University, Japan)
I-III-VI2 chalcopyrite semiconductors have made rapid progress for the solution of environmental and resources problems. CuInSe2, especially, CuInGaSe2, is expected as solar cell applications since it has large absorption coefficient of about 105 cmÐ1 at bandgap energy region. On the other hand, Ag-III-VI2 chalcopyrite semiconductors, especially AgGaS2, have been investigated as nonlinear optical materials. AgInGaS2 is also much attracted as nonlinear optical materials for wide wavelength range.1,2 AgInGaS2 has a bandgap energy from 1.9 to 2.7 eV. However, reports on the precise material characterizations of the AgInGaS2 crystals are a few in comparison to other Ag based chalcopyrite semiconductors.The AgInXGa1-XS2 (X=0 ~ 1.0) crystals have been grown by the vertical gradient freezing (VGF) method. All samples indicate chalcopyrite structures, nearly stoichiometry and n-type by means of X-ray diffraction, electron probe microanalysis and thermoprobe analysis, respectively. Lattice constants of a and c axis are proportional with X values, indicating correspond to VegardÕs law. On the other hand, a free exciton (FE) emission band may be remarkably observed in the photoluminescence spectra at 77 K. This means that the samples are high purity. It is clear that the energy of the FE emission band shows a nonlinear dependence on the composition X values. Furthermore, the parameters of the refraction and permittivity also increase nonlinearly with increasing the composition X values.


1
1V. V. Badikov et al., J. Quantum Electron 10 (1980) 1302. footnotre 2O. G. Vlokh et al., Sov. Phys. Solid State 27 (1985) 787.

EL-TuP-6 P-type Control of Sb-doped CuIn2 Crystals for Solar Cell Application
H. Komaki (Miyazaki University, Japan); M. Yoneta (Okayama University of Science, Japan); Y. Akaki, T. Ikari (Miyazaki University, Japan)
Among ternary chalcopyrite semiconductors, CuInS2 may be the most promising material for photovoltaic applications due to the bandgap of 1.5 eV which perfectly matches the solar spectrum for energy conversion. However, the conversion efficiency of the CuInS2 based solar cells are so far limited to around 12 %.1 One reason for this relatively low efficiency is that the physical properties of CuInS2 are not accurately known because high-quality single crystal growth of CuInS2 is difficult in comparison to CuInSe2 and CuGaSe2.In this work, the CuInS2 crystals are grown by Hot-Press (HP) method at 400 ~ 700 °C for 1 h under high presser (10 ~ 100 MPa). One of the advantages of the HP method is that a crystal growth is easy at low temperature. The sizes of the samples are 2 cm in diameter. All samples indicate chalcopyrite structures, nearly stoichiometry and n-type by means of X-ray diffraction, electron probe microanalysis and thermoprobe analysis, respectively. However, the sample grown at 400 °C has an also different phase (CuIn11S17). According to increasing temperatures, the sample does not have the different phase. In the photoluminescence spectra at 77 K, donor-acceptor pair emission band may be remarkably observed. The samples have both donor and acceptor-types impurities. Furthermore, p-type CuInS2 crystals can be obtained by Sb-dopong. Volume resistivity of the CuInS2 crystal increases by increasing the concentration of Sb (less than 0.1 atm. %). The resistivity can be also controlled by concentration of the Sb-doping.


1
1D. Braunger, D. Hariskos, T. Walter and H. W. Schock, Sol. Energy Mater. and Sol. Cells 40, 97 (1996).

EL-TuP-7 Focused Ion Beam Induced Damage in the Transmission Electron Microscopy Specimen of Semiconductor Devices
N. Kato (IBM Japan); H. Saka (Nagoya University, Japan)
Focused Ion Beam (FIB) system is indispensable for Cross-sectional Transmission Electron Microscopy (X-TEM) sample preparation, especially when sub-micron spatial accuracy is needed. It is well known that FIB induces damage to the samples. The damage is induced in the etching process as well as in the process of examining the X-section. Earlier study showed that the former does not depend on the etching time or the beam current, and little can be done to reduced the damage other than to use a lower energy FIB. However, the latter varies with the beam conditions, therefore, the examination process can affect the quality of the TEM sample. The purpose of this study is to understand the properties of the damaged layer in order to make a less damaged sample, and exclude the effects of the damage when interpreting TEM images. We studied the damage induced by FIB radiation with smaller current of 1-50 pA at glancing angle of 45 degree, the condition typically used for the examination. We used materials common in semiconductor devices, such as silicon, aluminum, and silicon compounds. We investigated the beam-irradiated surface by X-TEM and Energy Dispersive Spectroscopy (EDS). We found that in the case of crystalline silicon, a few second of beam radiation (< 1 pC/um2) amorphousized the surface. The amorphous layer was 40 nm deep and the gallium concentrates at the outmost 20 nm layer. Radiation of more than a few minutes accumulated a layer consisted of 20 nm carbon rich silicon and 20 nm carbon, silicon and oxide mixture. We found that this layer was made by the re-deposition of the beam-spattered material, which can be reduced by an optimizing the beam condition.
EL-TuP-8 A Study on the Germanosilicide Formation in the Ni/Si1-XGeX System for CMOS Device Applications
H.-J. Choi, D.-H. Ko (Yonsei University, Korea); J.-H. Ku, C.-J. Choi, S. Choi, K. Fujihara, H.-K. Kang (Samsung Electronics Co., Korea); C.-W. Yang (Sungkyunkwan University, Korea)
Si1-XGeX has been studied in many applications in order to resolve issues on size reduction in ULSI devices. For the application of Si1-XGeX to ULSI devices, interactions with metals such as Co, Ti, or Ni should be investigated to show the SALICIDE process adaptability. In the application of Co, Ti in the Si1-XGeX system, it has been reported that the Ge segregation and the film islanding occurs with the addition of Ge, which lead to the increase of gate resistance. Due to its low resistance, leakage current, and no pattern size dependence much attention is focused on the Ni-silicide for sub-100nm technology. Therefore, in this study, the solid-state reaction in Ni/Si1-XGeX systems and the thermal stability of Ni/Si1-XGeX(X=0, 0.16, 0.25, and 0.29) were investigated. The silicidation was performed by RTP from 500°C to 980°C for 30sec under N2 flow. Low resistive NiSi phases are not detected above 660°C in the case of Ni/poly-Si systems, while Ni(Si1-yGey) phases are observed at above 820°C in Ni/Si1-XGeX (X=0.16, 0.25, and 0.29) systems. When Ge is incorporated, germanosilicide on poly-Si1-XGeX is unstable due to the formation and growth of Ge rich Si-Ge alloy grains between germanosilicide grains near free surface. This results in a layer inversion of Ni(Si1-yGey) and Si1-XGeX layers, and consequent increase in Rs values about 106Ω/sq. above 620°C. Upon higher temperature annealing, the inverted grains grow and finally reach the free surface. Due to the incorporation of Ge, the phase transition to disilicide is delayed in the Ni/Si1-XGeX system. Prior to the phase transition, inversion of germanosilicide layers and Si1-XGeX layers occurs, which results in sharp increase in sheet resistance values at above 620°C.
EL-TuP-9 Positron Annihilation Studies of Defects at Metal-silicon Carbide Interfaces
P.R. Dunstan, H.M. Fretwell, D. Jones, S.P. Wilks, M. Charlton, D.P. van der Werf (University of Wales, UK); A. van Veen, H. Schut (Interfaculty Reactor Institute (IRI), Netherlands)
Positron annihilation has become an important technique for the investigation of vacancy-like defects. The mechanism for the formation of Ohmic and Schottky metal contacts to silicon carbide is not well understood and positron annihilation spectroscopy studies offer a detailed insight into the role of defects at the interface. Our investigations have concentrated on a number of different preparations, each of which produced different I/V characteristics. Chemical cleaning, ultra-high vacuum preparation and in-situ annealing were all addressed and we demonstrated a significant difference in the Doppler broadened S parameter for each type of contact. In particular the presence of fewer defects at Schottky contacts than at Ohmic contacts was apparent. Temperature studies performed were also able to correlate the reduction of defects with the improvement of Schottky I/V characteristics. The results represent a significantly step in understanding and controlling metal contacts to silicon carbide.
EL-TuP-10 Effects of Surface Band Bending on Electrical Properties of AlGaN/GaN HFET Observed by I-V and XPS Measurements
J.-L. Lee, K.J. Choi, C.M. Jeon (Pohang University of Science and Technology (POSTECH), Korea); J.H. Lee (Kyungpook National University, Korea)
Surface states of compound semiconductors are closely related to the undesirable characteristics of FETs such as transconductance dispersion, low breakdown voltage behavior, and low frequency noise. Recently, it was reported that the PL intensity was sharply increased by dipping GaAs wafer under intense light (photowashing treatment), which was explained by the reduction of surface states and the unpinning of surface Fermi-level. No works, however, were conducted on the effects of photowashing treatment on electrical properties of FET, especially AlGaN/GaN HFETs. In this work, we applied photowashing treatment on the ungated surface region between gate and source/drain electrodes of AlGaN/GaN HFETs and observed its effects on electrical characteristics using I-V and XPS. The AlGaN/GaN HFETs with a gate length of 1.0-micron were fabricated. The devices were photowashed by dipping them into deionized water under yellow room light. Photowashing time was varied from 1 to 30 minutes. The surface exposed under the same condition of photowashing treatment was characterized using XPS. In XPS measurement, it was found that the oxide was primarily composed of Al and Ga oxides. In I-V measurements, the drain current at gate biases corresponding to open channel and gate-to-drain reverse leakage current were simultaneously decreased. The decrease of drain current was explained by the increase of depletion width under the ungated surface region between gate and source/drain electrodes. This was evidenced by the decrease of binding energies of Ga 3d and N 1s photoemission spectra, namely, the movement of surface Fermi-level towards the valence band. On the other hand, the decrease of gate-to-drain leakage current was explained by the increase of negatively-charged surface states. From the changes of (Ga+Al) to N ratio at the surface of AlGaN by the treatment, the most probable point defect responsible for the movement of surface Fermi-level was discussed.
EL-TuP-11 Structural and Electrical Characteristics of CVD-CoSi2/Si0.83Ge0.17/Si(001)
S.H. Ban, Y.S. Ahn, D.O. Shin, N.-E. Lee (Sungkyunkwan University, Korea); B.T. Ahn (Korea Advanced Institute of Science and Technology); K.-H. Shim (Electronics and Telecommunications Research Institute, Korea)
Silicide formation on SiGe alloys has been studied for low-resistance contacts and Schottky barrier contacts for various applications. Among the various silicides, CoSi2 is a very attractive material due to its low resistivity, one of the more promising candidates for making high performance Schottky barrier devices, and possibility of self-aligned silicide formation at relatively low temperatures. Recently, there have been several investigations on CoSi2 deposited by molecular beam epitaxy on SiGe alloys or silicidation of sputter-deposited Co layers on SiGe alloys, but no reports on CoSi2 deposited by CVD on SiGe alloys so far as we know. In this study, we investigated structural and electrical properties of CVD-CoSi2/Si0.83Ge0.17/Si(001) contacts by structural, chemical, and electrical analyses. Uniform cobalt disilicide (CoSi2) layers has been grown in-situ at 100 mTorr on p-type and n-type Si0.83Ge0.17 grown on p-type Si(001) by metal organic chemical vapor deposition (MOCVD) at 600 °C using cyclopentadienyl cobalt, Co(h5-C5H5)(CO)2 with 10 sccm of H2 carrier gas. The interfacial, structural, and chemical properties of MOCVD-CoSi2/Si0.83Ge0.17 were analyzed by x-ray diffraction (XRD), transmission electron microscopy (TEM), scanning electron microscopy (SEM), Rutherford backscattering spectroscopy (RBS), and Auger electron spectroscopy (AES). The effective Schottky barrier heights and electrical properties of CVD-CoSi2/Si0.83Ge0.17/Si(001) were measured by current-voltage (I-V) measurement and the sheet resistance measurement, respectively. The measured effective Schottky barrier heights are in the range of 0.55-0.60 eV for CVD-CoSi2/n-Si0.83Ge0.17/Si(001) without showing significant dependence of measured fBn on the annealing temperature, Ta = 450 °C to 800 °C.
EL-TuP-12 On the Induced Net Charge Density at ICB Deposited MS Interface
B. Cvikl (J. Stefan Institute, Slovenia)
The origin of the so called excess capacitance of non ideal Schottky junctions has remained unclear for well over the past decade. Recently we have shown,1 utilizing the series of Ag/Si and Pb/Si Schottky junctions deposited by the ionized cluster beam, ICB, method as obtained for different values of external metal ions acceleration voltages, that the origin of the reverse biased excess capacitance is directly related to the occurrence of induced net charge density. This induced net charge density occurs at the, with the penetrating metal ions, enriched Si substrate-Si interface, and its magnitude appears to be a deposition method sensitive. It is the external bias dependence of this induced interfacial net charge, to a very good approximation described by a gaussian curve, which appears to be responsible for the bias dependent excess capacitance in the reverse direction if the junction series resistance is small and in the forward direction if it is not. From the above data extracted analytical expression for the effective density of interface electronic gap states is characterized by numerous sharp spikes the envelope of which exhibits strong, standing wave like oscillations accompanied with nodes. This behavior is strikingly similar to the shape of local density of states of a one dimensional lattice of N identical interacting atoms placed in an external uniform electric field, exhibiting the well known Wannier-Stark ladders.2 In this presentation the possible manifestation of the Wannier-Stark effect in ICB deposited Schottky junctions will be analyzed and the possibility for potential application of the observed effect for certain simple devices will be discussed. 1 B. Cvikl and D. Korosak, Vacuum 61 (2001) 355. 2 S. G. Davison et al., J. Phys.: Condens. Matter 9 (1997) 6371.
EL-TuP-13 Electrical Properties of Cd Vapor Pressured CdZnTe for HgCdTe Passivation
S.Y. An (Korea Institute of Science and Technology)
The semiconductor-passivating layer interfaces, as well as the dielectric properties of the passivating layers, play important roles in HgCdTe based photodiodes. Various techniques are being developed to obtain surface passivation layers on HgCdTe, but the thermal or e-beam evaporation methods have become the general approach in this area. When CdZnTe films deposited with an evaporation techniques, it might have a possibility to introduce surface nonstoichometry due to high vapor pressure of group II element. To avoid surface nonstoicheometry in deposited CdZnTe layer, we intentionally exposed Cd overpressure from 1x10-4 torr to 1x10-8 torr when the CdZnTe deposition was carried out. Test structures of Metal-Insulator-Semiconductor were processed and their electrical properties were measured by capacitance-voltage characteristics. For the Cd pressure of 1x10-8 torr, the flat band voltage of MIS capacitor is about -0.3V with fixed charge density of 3.0x1010/cm-3 and hysteresis was drastically reduced. We found that Cd vapor pressured CdZnTe passivation layer have much lower fixed charge density, small hysteresis and nearly zero flat band voltage.
EL-TuP-14 A Study of Iron-Contaminated p-type Silicon by Scanning Probe Microscopy
M.N. Chang (National Nano Device Laboratories, Taiwan, R. O. C.); T.Y. Chang (National Chiao Tung University, Taiwan, R. O. C.); C.Y. Chen, F.M. Pan, B.W. Wu (National Nano Device Laboratories, Taiwan, R. O. C.); T.F. Lei (National Chiao Tung University, Taiwan, R. O. C.)
One significant factor that degrades a Si device's performance and its yield is metallic contamination. Among the metallic contaminants, Fe has been the most extensively studied, because it is commonly observed as a dissolved impurity in Si wafers and, in comparison with other metallic contaminants, is more difficult to getter. In addition, it is also hard to observe the micro-distribution of oxidation related defects induced by slightly Fe contamination. In this work, we have employed scanning probe microscopy to investigate the distribution of oxidation related defects in the Fe-contaminated p-type Si wafers, on which a thermal oxide layer of 40 Å was grown. All of the p-type Si wafers was contaminated by a nitrate solution of a low Fe contaminant concentration, simulating the influence of Fe contamination during the cleaning process. From scanning capacitance microscopy (SCM) studies on the 10-ppm Fe-contaminated samples, a defect region exhibits a lower dC/dV signal than the surrounding normal area at a low bias voltage. According to contact-mode atomic force microscopy (AFM), the surface morphology has little effect on the SCM signal. This is attributed to the positive trapped charges (PTC), which are closely related to negative shift in the flat band voltage and affect the dC/dV value. In the defect region, two small areas about 0.1 µm in size have the lowest SCM signal, suggesting that these two areas have the highest PTC density. One can expect that these two areas would be the most likely weak points, where significant current leakage and oxide breakdown can occur at a high gate bias. When the contaminant concentration is increased, SCM observed more PTC regions.These results imply that SCM is capable of detecting the position of weak spots in silicon wafers, which may lead to leakage and breakdown problems in gate oxide.
EL-TuP-15 Effect of Surface Clean on CVD SiGe Growth
J.-S. Maa, D. Tweet, S.T. Hsu (Sharp Laboratories of America)
Low temperature growth of CVD SiGe was found very sensitive to surface condition. Proper preparation of surface in HF dip or DI rinse is essential to control the SiGe film quality. SiGe films were characterized by high-resolution x-ray diffraction, the effect of surface clean was revealed by the sharpness of the periodic modulation of peaks. SiGe formed on poorly prepared surface resulted in a diffraction pattern with mostly washed out peaks indicating poor crystallinity or a rough surface. The quality of SiGe film was correlated to trace contamination at interface as demonstrated by SIMS. Procedures of surface preparation, including wet process and in-situ vapor etch, and their effects on film quality will be discussed.
EL-TuP-16 The Behavior of Dopant Boron during TiSi2 Formation
Y.S. Chung (Samsung Advanced Institute of Technology, Korea); H.S. Park (Samsung Electronics Co., LTD, Korea); J.Y. Won, J.M. Choi (Samsung Advanced Institute of Technology, Korea)
The TiSi2 formation by plasma-enhanced chemical vapor deposition (PECVD) and the reaction of B doped Si with the overlaying silicide were investigated by X-ray photoelectron spectroscopy (XPS), Auger electron spectroscopy (AES), and secondary ion mass spectroscopy (SIMS). TiSi2 with C49 phase was formed on Si substrate at 630°C using TiCl4 source gas. The reaction of dopant boron implanted in Si with the silicide leads to the formation of TiB2 at the TiSi2-Si interface, which was confirmed by XPS and SIMS. The bonding of Ti-B at the interface resulted in the increase of the contact resistance. The effects of heat treatment in TiSi2 growth and boron behavior also will be discussed.
EL-TuP-17 The Investigation of Electroless-plated Copper on TaN/Si as Self-catalyzed by MEVVA Ion Implanter
U.-S. Chen, J.-H. Lin, W.-J. Hsieh, P.-S. Shih, H.C. Shih (National Tsing Hua University, Taiwan)
This work attempts to implant Cu ions into TaN/Si as catalyst by using metal vapour vacuum arc (MEVVA) ion implanter for electroless Cu plating. The range of dose is between 5.0¡Ñ1015 and 1.0¡Ñ1017 ions/cm2. Ion energy is from 40 to 50 keV. These specimens were subsequently deposited with a thin layer of Cu in an electroless bath developed by this group. Furthermore, the electroless plated copper films were studied by SIMS, SEM, four point probe for electric resistivity, XRD and a mechanical pull-up test. First of all, a noticed relationship between SIMS depth profiles and ion energy is established. All the sheet resistance of specimens are lower than 1.85 µmΩ-cm after 300°C annealing for 1 hour. An excellent step coverage and gap filling in sub-micron trench/via were observed by FESEM. The result also indicated that the higher the ion dose/energy, the larger value of adhesion as we obtained from the pull-up test.
EL-TuP-18 Selective Electroless-Plated Copper for Deep Sub-micron Interconnect Metallization Through the Catalytic Effect of Cu/ Pd by PIII
J.-H. Lin, W.-J. Hsieh, X.-W. Liu, C.-S. Kou, H.C. Shih (National Tsing Hua University, Taiwan)
The major goal of this study is to combine the techniques of using plasma immersion ion implantation (PIII) to implant Pd or Cu as a catalyst seed layer onto a TaN diffusion barrier layer and electroless plated Cu to accomplish the ULSI interconnection metallization. Both patterned and blanked wafers were employed using Pd or Cu as catalyst by PIII after which copper was electroless plated on a TaN/FSG/Si multi-layer structure. Either Pd or Cu atoms were sputtered from a negatively biased target and ionized in an argon inductively coupled plasma (ICP). The metal ions were adequately implanted into the substrate with a highly pulsed negative bias (~4000 V). The observation of FESEM indicated that under the circumstances of higher substrate bias voltage and plasma ionization, the electroless copper grows upward from the bottom of the vias (width: 0.25 µm; aspect ratio: 7) layer by layer, with an excellent gap filling ability without the final centered seam. The result of the pull-up test, showed that higher substrate bias and higher plasma density to implant Cu as seed layer can effectively enhance the adhesion strength between electroless-plated copper film and TaN layer.
EL-TuP-19 The Morphology and Strain-induced Defect-structure of Ultrathin Epitaxial Fe Films Grown on Mo(110)
S. Murphy, D. Mac Mathuna, G. Mariotto, I. Shvets (Trinity College, Ireland)
The magnetic properties of ultrathin epitaxial Fe and Ni films can often be strongly subject to the film strain imposed by lattice mismatch with the substrate and the mechanisms by which this strain may be relieved. In this work, the morphology and defect-structure of Fe films grown with mismatch m = -8.9% on the Mo(110) surface was characterized by a combination of scanning tunneling microscopy, low-energy electron diffraction and Auger electron spectroscopy. Fe films in a thickness range of 0.5 ≤ d ≤ 8 Å were grown on a Mo(110) at substrate temperatures lying in the 300 ≤ T ≤ 525 K interval. Near room-temperature, films grow layer-by-layer through a combination of step-flow and two-dimensional island growth until the first two Fe layers are complete. Beyond this coverage, there is a transition to layer-plus-island growth. The first layer is pseudomorphically strained, but the film strain is partially relieved in the second layer by the formation of dislocation lines along the [00-1] direction. Because the film is relaxed in the local region about these dislocations, they form preferential sites for nucleation of third layer islands. The build-up in strain with increasing film thickness results in the formation of a strain-relieving dislocation network in the third layer of the film. At elevated temperatures (495 ≤ T ≤ 525 K), the first two Fe layers grow by the step-flow mechanism, leading to the formation of arrays of Fe nanostripes. Dislocations are formed along the [00-1] direction in second layer stripes that are wider than approx. 100 Å. At higher coverages, the Fe agglomerates into large wedge-shaped islands surrounded by an Fe monolayer sea. A dislocation network is formed on these islands, originating in the second layer and persisting to the maximum island thickness observed (approx. 10 layers). This network has a similar structure to that observed on islands grown near 300 K, but is 14% more relaxed along the [1-10] direction.
EL-TuP-22 Codoping of Magnetron-Sputter Deposited ZnS:TbOF with Ce for Electroluminescent Phosphors
J.P. Kim, M.R. Davidson (University of Florida); D. Moorehead (Uniroyal Optoelectronics); M. Puga-Lambers (University of Florida); Q. Zhai (CORNING Lasertron); P.H. Holloway (University of Florida)
RF magnetron-sputter deposited ZnS:TbOF thin film electroluminescent (EL) phosphors 1mm ± 10% thick were codoped with Ce and the EL performance tested with 60Hz trapezoidal voltage pulses. The B40 of Ce codoped ZnS:TbOF films annealed at 500°C for 60 minutes was 144 cd/m2 compared to 86 cd/m2 for undoped ZnS:TbOF films. Further analysis using XRD, SEM and AFM showed no changes in crystallinity or surface morphology and SIMS analysis showed the Ce concentration to be far below Tb concentration. Electrical Q-V, C-V and L-V measurements indicate that there are changes in the electron transport suggesting that the increase due to Ce codoping of ZnS:TbOF film is likely due to modified space charge in the ZnS:TbOF ilm.
EL-TuP-23 Improved Efficiency and Space Charge Effects in ZnS:Mn ACTFEL Devices Co-Doped with KCl
J.S. Lewis (MCNC); M.R. Davidson, Q. Zhai, K. Waldrip, P.H. Holloway (University of Florida)
Alternating-current thin-film electroluminescent (ACTFEL) phosphors have been studied and improved with respect to brightness, efficiency, and stability. It is shown that ex-situ co-doping of the sputter deposited ZnS:Mn active layer with K and Cl results in 53% improvement in brightness, 62 % improvement in efficiency, and better 100-hour accelerated aging stability. This improvement was demonstrated to result from a 75% increase in excitation efficiency for conduction electrons, combined with a small decrease in both light outcoupling and non-radiative recombination. By studying the electrical behavior of the co-doped devices as compared to the undoped devices, it was determined that there is a reduced amount of static space charge in the films, resulting in a larger average field, increased excitation efficiency, and increased charge multiplication. The reduced space charge is attributed to the addition of charge compensating zinc vacancy-chlorine complexes and isolated chlorine point defects, which are acceptor and donor defects, respectively, and the reduction of zinc vacancy deep hole traps. It is postulated that there is sufficient electron multiplication or donor ionization to create a situation in which the current limit is set by the phosphor resistance rather than a capacitance or density of states. The increased efficiency is discussed in a framework of generic ACTFEL device efficiency common to all ACTFEL phosphors. Work supported by DARPA Grant # MDA97-1-0003 through the Phosphor Technology Center of Excellence.
EL-TuP-24 Dry Etching of Sapphire for the Device Isolation using BCl3/Cl2(SiCl4) Inductively Coupled Plasmas
C.H. Jeong, D.W. Kim, J.H. Kim (Sungkyunkwan University, Korea); Y.J. Sung, S.H. Chae, J.S. Kwak, Y.J. Park (Samsung Advanced Institute of Technology, Korea); G.Y. Yeom (Sungkyunkwan University, Korea)
Sapphire wafer has been used in the optoelectronics industries as the substrates due to its high chemical and thermal stability. One of the problems in using sapphire wafers to optoelectronic devices such as GaN-based devices is the difficulty in cutting and backside mechanical polishing after completing the device due to the differences in the crystal orientation and the hardness of sapphire itself. Especially, to obtain reliable device isolation, more than 50 wide scribe line width is required for mechanical cutting using a diamond wheel or for scribing using a diamond scriber. However, if the device isolation can be replaced by dry etching followed by the separation of the devices by rolling and stretching, the scribe line width could be reduced to 5 and the yield per wafer could be also increased by 30%. In this study, BCl3/ SiCl4 and BCl3/Cl2 based inductively coupled plasmas have been used to etch (0001) sapphire wafers to obtain the etch rates over 400nm/min and the influence of the additive gases such as Ar, Kr, HBr, etc. on the sapphire etch characteristics were studied. The etch mechanism of sapphire was also investigated by plasma diagnostics and surface analysis using optical emission spectroscopy during the sapphire etching and X-ray photoelectron spectroscopy after the etching, respectively. For the device isolation, the etch profile containing severe notching is greatly encouraged contrary to the etch profile required for semiconductor devices. The degree of notching on the etch profile was observed as a function of process parameters by scanning electron microscopy and the surface roughness was examined by atomic force microscopy before and after the etching of the samples.
EL-TuP-25 Etching of CeO2 Thin Films in CF4/Cl2/Ar Plasma
C.I. Kim, C.S. Oh, D.P. Kim (Chung-Ang University, Korea); C.I. Lee (An-San College of Technology, Korea); T.H. Kim (Yeojoo Institute of Technology, Korea); E.H. Kim (Cheju National University, Korea); E.G. Chang (Chung-Ang University, Korea)
Cerium dioxide was used as the intermediate layer between the ferroelectric thin film and Si substrate in a metal-ferroelectric-semiconductor field effect transistor (MFSFET), to improve the interface property by preventing the interdiffusion of the ferroelectric material and the Si substrate. In this study, CeO2 thin films were etched with a CF4/Cl2/Ar gas combination in inductively coupled plasma (ICP). The CF4/(CF4+Ar) was fixed at 0.2, and the CeO2 thin films were etched by adding Cl2. Etching properties of CeO2 were measured according to the various etching parameters such as radio frequency power (400~600 W), direct current bias voltage (-150~-300 V), and chamber pressure (10~20 mTorr). Chemical reaction of etched CeO2 thin films was investigated with x-ray photoelectron spectroscopy (XPS) and secondary ion mass spectrometry (SIMS). In order to analyze the effect of radical density of F, Cl and ion current density as a function of Cl2 percentage in CF4/Ar, optical emission spectroscopy (OES) and single Langmuir probe were used. The profile of etched CeO2 thin films investigated with scanning electron microscopy (SEM).
EL-TuP-26 Near-zero-thickness Molecular-layer Diffusion-barriers for Interconnect Applications
G. Ramanath, K. Chanda, X. Guo, M. Stukowski (Rensselaer Polytechnic Institute); S. Nitta (IBM Microelectronics)
Ultrathin diffusion barriers that can conformally coat sub-100-nm-diameter vias--to allow a greater via fraction for low resistivity Cu--are necessary to fully realize the full potential of Cu technology. Here, we demonstrate the use of <2-nm-thick self-assembled molecular layers (SAMs) as effective barriers to Cu diffusion into SiO2. Cu/SiO2/Si(001) metal-oxide-semiconductor (MOS) capacitors, with and without SAMs at the Cu/SiO2 interface, were investigated during bias-thermal annealing at temperatures between 100-300 °C in a 2 MV/cm electrical field. Capacitance-voltage and current-voltage measurements of MOS capacitors with SAMs having aromatic terminal groups consistently show as much as 5 orders of magnitude lower leakage currents and a factor of 4 higher mean-time-to-failure when compared with the corresponding values measured from uncoated samples. SAMs with short tail lengths or aliphatic terminal groups are ineffective in hindering copper diffusion, suggesting that molecular length and chemical configuration are key factors in determining the efficacy of SAMs as barriers. In addition to the temperature dependence of the barrier properties of SAMs, we will also present preliminary results pertaining to their deposition and behavior on SiLK. Our results will be discussed in the context of microelectronics device processing and integration, to evaluate the utility of ultrathin molecular layers in future interconnect structures.
EL-TuP-27 Effect of Interfacial Underlayers on Electromigration in Epitaxial Cu(001) Lines
R. Goswami, H.S. Goindi, H. Kim, M.J. Frederick, G. Ramanath (Rensselaer Polytechnic Institute); C.-S. Shin, I. Petrov, J.E. Greene (University of Illinois, Urbana)
The effects of grain size, preferred orientation, and interfacial layers on electromigration (EM) in Cu lines are not yet well understood. In order to isolate the effect of the underlayer and grain structure, we investigate the EM behavior of epitaxial Cu(001) lines on Ta, TaN, and TiN underlayers. Accelerated EM tests were carried out on 2-µm-wide lines with a 3.5 MA/cm2 current density at temperatures between 200-300 °C. Cu(001) lines on TiN or TaN shows up to a factor-of-10 higher mean-time-to-failure when compared with those on Ta. The superior EM resistance of lines on nitride underlayers correlates with a higher crystal quality--measured by X-ray diffraction--of the Cu epilayers. This correlation is also observed in preliminary experiments of Cu films with slightly different epilayer-quality, deposited on the same underlayer. The activation energy of EM-induced failure of Cu lines on the nitrides is ~0.9 eV, which is significantly higher than the value of ~0.2 eV, observed for Cu(001) on Ta. Our results suggest that orientation inheritance is an important factor that determines the EM lifetime. Based upon these results, we discuss the EM failure mechanism in the context of epitaxial film microstructure, Cu-underlayer interface, and failure morphology revealed by scanning and transmission electron microscopy (SEM and TEM)measurements.
EL-TuP-29 Vaporization Characteristics for Liquid Precursor of Cu-CVD
S. Akiyama, A. Sekiguchi, K. Yamada, K. Sekiya, T. Sasaki (ANELVA Corporation, Japan)
A liquid precursor vaporizer used in Cu-CVD equipment for semiconductor metallization was studied. Important issues are high rate vaporization at low temperature around 70°C, suppression of decomposition of the precursor, quick response, and stability. One of equipments made on an experimental basis consists of a rectangular aluminum with about a 22 cm * 7 cm warm section inclined by a certain angle from the horizontal line, where liquid precursor was supplied to spread on the surface and Ar was separately supplied as carrier gas. With inclination angle of 5°, sufficiently high vaporization rate of 2.4 g/min for Cu(hfac)(tmvs) could be obtained at 70°C, 500 Pa. Investigated parameters effective on vaporizing ability were inclination angle, total pressure, and temperature of warm section. Vaporization rate for Cu(hfac)(tmvs) was measured at inclination angle between horizontal line and 30°, at pressure between 330 and 500 Pa, and at temperature between 55 and 70°C. The vaporization rate at 5°, 500 Pa, and 70°C was 43.3 mg/(min)(cm2). It increased to 60.7 mg/(min)(cm2) by increasing the angle to 15°, and increased to 88.9 mg/(min)(cm2) by decreasing the pressure to 330 Pa. Even at lower temperature of 60°C, 21.7 mg/(min)(cm2) was obtained. In order to obtain the required precursor flow rate, the vaporization surface area have to be obtained, which is based on the vaporization rate for each method. In this case, a vaporization surface area of 57.7 cm2 was required to achive a deposition rate of 100 nm/min for 300 mm wafer.
EL-TuP-31 Preferred Growth of Cu Thin Films on Ta and TaNx Diffusion Barriers
J.H. Wang, J.C. Hu, L.J. Chen (National Tsing Hua University, Taiwan, R.O.C.); Z.C. Lu, C.S. Hsiung, W.Y. Hsieh, T.R. Yew (United Microelectronics Corporation, Taiwan, R.O.C.)
Ta and TaNx films have recently been extensively investigated to substitute for TiN films as an adhesion and diffusion barrier in Cu metallization for ultralarge-scale integrated applications. The electromigration resistance is sensitive to the texture of Cu films. Seeding and controlled growth of Cu films on the underlaying Ta and TaNx films might be beneficial in achieving the desired texture of Cu films. In the present work, a series of textured growth of Cu films on the Ta and TaNx with varying x was investigated. The phases formed in Ta and TaNx films deposited with pure Ar, 10%, 20%, 30%, 40%, 50%, and 60% N2/Ar ratios were found to be a mixture of β-Ta and bcc-Ta, bcc-Ta, bcc-TaN0.1, expanded bcc-TaN0.1, hcp-Ta2N, fcc-TaN, and fcc-TaN (nearly amorphous), respectively. The results indicated that the N atoms in Ta and TaNx films occupied the interstitial sites of the crystal lattice. Solubility of N atoms in bcc-Ta films is low. The lattice in the vicinity of each atom is severally strained to accommodate the interstitial N atoms. As a result, the structures of bcc-TaN0.1 and expanded bcc-TaN0.1 are highly distorted. Moreover, the crystal structure of TaNx films was found to change from bcc to fcc with increasing N content. A larger interstitial spacing in fcc lattice is capable of accommodating more N atoms in the Ta and TaNx lattice. On the other hand, the growth of Cu was found to depend strongly on the microstructures of the Ta and TaNx underlayers. The Cu (111)/(200) intensity ratio decreased with the concentration of N in Ta and TaNx films. The results are explained in terms of the strained structures of bcc-TaN0.1 and poor crystallinity of hcp-Ta2N and fcc-TaN.
EL-TuP-32 Formation of Titanium Silicides on Silicon-on-Insulator Wafers
C.H. Liu, C.J. Tsai, L.J. Chen (National Tsing Hua University, Taiwan, R.O.C.)
With continuing reduction of device feature size and increase of electronic component density in integrated circuit (IC) technology, SOI (silicon-on-insulator) is going to be the future substrate frame. The conventional advantages arising from SOI device structure and physics are high-speed operation due to low junction capacitance, no latch-up due to dielectric isolation, improved soft-error due to small junction area, reduced power consumption, harsh environment tolerance, and simplified fabrication process. As the CMOS device feature size scales down, formation of low resistivity silicides becomes increasingly difficult. Motivated by the effects of stress state of the metal-silicon diffusion couple on the silicide formation, especially on the silicon surface in SOI material, the influence of stress on silicide formation has been investigated. The SOI wafers used are separation by implantation of oxygen (SIMOX) wafers with a 1900-Å-thick buried oxide (BOX) layer located between the top silicon layer (with variant thickness range 1000~3000 Å) and bottom substrate. The in-situ stresses during reactions, with 5 °C/min ramping rate at 2~5 x 10-6torr, were measured by a scanning laser beam reflection technique. Tensile stress was induced in the top silicon layer. The stress was found to increase with thinner silicon layer. The change is attributed to the difference in the thermal expansion coefficient between SiO2 and Si. For the interfacial reactions of Ti on top silicon layer, the C49 to C54 TiSi2 phase transformation on SOI wafers was found to be enhanced. The results are discussed in term of the variation of diffusivity of reacting species with the multi-layer stress state.
EL-TuP-33 Diffusion Barrier Properties of Metallorganic Chemical Vapor Deposited Niobium Nitride Films Against Cu Metallization
C.W. Wu, W.C. Gau, J.C. Hu (National Tsing Hua University, Taiwan, ROC); T.C. Chang (National Sun Yat-Sen University, Taiwan, ROC); C.H. Chen, C.J. Chu (Namat Technology Co., LTD., Taiwan, ROC); L.J. Chen (National Tsing Hua University, Taiwan, ROC)
Amorphous NbNx films were deposited by metallorganic chemical vapor deposited (MOCVD) using ethylimidotris(diethylamido)niobium(V) [Nb=NEt(NEt2)3] source with and without NH3 at various temperatures. The diffusion barrier properties of NbNx films for Cu metallization were investigated. In the MOCVD system, the precursor was introduced to reaction chamber by a bubbler with Ar as a carrier gas. Both deposition temperature and resistivity of the film was found to decrease drastically upon the addition of NH3. The activation energy for the surface reaction was measured to be 0.82 eV in the temperature range of 500- 600°C and decreased to 0.23 eV by adding 20 sccm NH3 in the temperature range of 300 - 400°C. The NbNx films was found to be amorphous by X-ray diffractometry (XRD) and transmission electron microscopy (TEM). Concentration-depth analysis was carried out by Auger electron spectroscopy (AES). The concentration of C in films was reduced significantly and the concentration ratio of N to Nb was varied from 1.67 to 1.10 by using NH3 as a reactant gas. From XRD and SEM observation, it was found that 50-nm-thick NbNx film can effectively prevent penetrating of Cu in samples annealed at 550°C for 30 min. In samples deposited with 20 sccm NH3, the barrier survived in samples annealed at 600°C for 30 min. The higher thermal stability of the films deposited with NH3 is correlated to presence of N atoms in the NbNx barrier films.
EL-TuP-34 On Thermostability of Sulphur Complexes with Metals of Transition Group in Silicon
M. Arzikulova, E.U. Arzikulov, T.U. Tashbaev, S.N. Sradjev (Samarkand State University, Uzbekistan)
Under determined conditions of doping p-Si (ρ = 10 Ω cm) Sulphur and both Cd and Zn all electric active atoms form electric neutral complexes among ach other. Electrical properties of the material are reimbursed in this case. Influence of heat treatment (HT) at different temperatures on stability of the complexes and determination of their effect on thermic flaw generation are investigated in the paper. Si samples were doped consequently with S, Cd, Zn at effective temperatures of complex formation among the impurities. The samples were treated at 100 - 1150 ° for 1 h after doping. Resistiveties of the samples were measured after each cycle of the treatment. Si(B,S), Si(B,Cd), Si(B,Zn) samples were also treated under the same conditions for comparison purposes. Results showed that before HT parameters of Si(B,S,Cd), Si(B,S,Zn) samples are comparable with those of before doping: p-type of conductivity and ρ = 10 Ω cm. We consider that all electrically active S, Cd, Zn atoms are bounded in electrically neutral complexes. Their concentration according to calculations are NCd = 2x1016 cm-3 NZn = 2x1016 cm-3 and the rest of S atoms are in nonequilibrium states in the dissociation centers. We conclude that first of all electric neutral S, Cd, Zn atoms are stable enough up until 1100 °. At the temperatures above partial or total dissociation takes place and properties of the material are determined by Si atoms. Secondly, formation of the electrically neutral complexes prevents thermic flaws generation.
EL-TuP-35 The Theory of Multiphonon Resonant Raman Scattering in a Quantum Well
A. Eshpulatov (Samarkand State University, Uzbekistan)
The theory of multiphonon resonant Raman scattering (MPRRS) with the partisipation of the quasi-two-dimensional (Q2D) excitons as intermediate states is developed ffor a quantum well (QW). It is shown that the scattering cross section is proportional to (. ( is the dimensionless exciton-LO-phonon coupling constant) in the maximum of the scattering peak. It means that the obtained contribution into cross section is essentially bigger than the contribution of free electron-hole pairs (EHP) in the Q2D system1 and than the contribution of 3D-excitons in bulk semiconductor.2 The enhancement of the MPRRS in comparision with the bulk case is explaned by the possibility of the real LO-phonon emission in QW in the frequency region (corresponding to the direct creation or direct annihilation) while in the bulk semiconductor two phonon scattering consists from two indirect processes- creation and annihilation of exciton. The enhancement of the scattering in comparision with the EHP as the intermediate states sown that into the Q2DS the excitonic mechanism of MPRRS dominants. It is justified especialy in the case of two-phonon resonant Raman scattering when the exciton presents only in the act of the indirect creation (or indirectannihilation) and monoemission of the LO-phonon.


1L.I. Korovin, S.T. Pavlov & B.E. Eshpulatov, Pis'ma Zh. Eksp. Teor. Fiz. 51, 516, (1990); [JEPT Lett., 51, 584, (1990)].
2A.V. Goltsev, I.G. Lang, S.T. Pavlov & M.F. Bryzhina, J. Phys. C., 63, 4221 (1983).

EL-TuP-36 Scanning Spreading Resistance Microscopy Bias Dependence of Doped III-V Semiconductors
R.P. Lu (University of California, San Diego); K.L. Kavanagh (Simon Fraser University, Canada); St.J. Dixon-Warren, A.J. SpringThorpe, R. Streater (Nortel Networks, Canada)
Scanning Spreading Resistance Microscopy profiling of III-V optoelectronic devices has been previously demonstrated. It is clear that the physical interactions between the SSRM tip and the semiconductor sample are not well understood. The overall measured SSRM resistance is the sum of the spreading resistance and contact resistances. To obtain a better understanding of the role of the contact resistance, we have conducted SSRM measurements of current as a function of sample bias for a large dynamic range of doping densities (1016 - 1019 cm-3). For this paper, we propose a model based on Fermi level pinning. Theoretical calculations correlate well with experimental SSRM results for both GaAs and InP.
EL-TuP-37 Recombination Properties of Heavily Doped GaAs
R.K. Ahrenkiel, W. Metgzer, R. Ellingson (National Renewable Energy Laboratory); D.I. Lubyshev, W.K. Liu (Quantum Epitaxial Designs, Inc.)
Heterojunction bipolar transistors (HBTs), using GaAs as the base, have become a technology of great importance for high speed communications. The base of the high-speed GaAs transistor is carbon doped to levels between 1x1019 and 1x1020 cm-3 and the electron lifetime in the base is critical to amplifier gain. The classical band-to-band Auger effect varies as the inverse square of the free-carrier concentration. We have, for the first time, directly measured this lifetime over a wide doping range, using the up-conversion method of time-resolved photoluminescence. With this method, we were able to measure carrier lifetimes as short as 100 fs. The free hole concentrations, (p), were measured by the Hall effect and the carbon concentrations by the SIMS method. The data showed that acceptor activation was nearly 100 percent, even at 78 K. Photoconductive decay and photoluminescence data show bandgap shrinkage that is attributable to impurity band formation. Our data shows that for hole concentrations larger than 1x1019 cm-3, the lifetime decreases with the inverse cube of the hole density, which is indicative of a complex Auger process. The lifetime varied from about 1 ns at 1x1019 to 600 fs at 1x1020 cm-3. These data are compared to the theory of Takeshima and found to vary more steeply with p, even when the impurity-assisted and phonon-assisted processes are included. However, our data suggests that the latter two processes are active because of the doping dependence. These data suggest that the impurity band plays a critical role in carrier transport. The impact of the data on HBTs will be discussed.
Time Period TuP Sessions | Topic EL Sessions | Time Periods | Topics | AVS2001 Schedule