AVS2001 Session EL-FrM: ULSI Metallization & Interconnects

Friday, November 2, 2001 8:20 AM in Room 124

Friday Morning

Time Period FrM Sessions | Abstract Timeline | Topic EL Sessions | Time Periods | Topics | AVS2001 Schedule

Start Invited? Item
8:20 AM EL-FrM-1 Copper Alloys for Interconnections in Microelectronics
J.M.E. Harper, C.K. Hu (IBM T.J. Watson Research Center); K. Barmak (Carnegie Mellon University)
We review the attributes of copper alloys which are suitable for consideration in silicon chip interconnections. Many properties of copper improve with the addition of alloying elements, including corrosion protection, electromigration resistance and mechanical stability. However, these gains must be balanced against the inevitable increase in resistivity caused by adding impurity atoms. Alloying elements will be classified into three types of immiscible systems, plus systems in which Cu compounds form. We focus on alloy systems in which the resistivity can be lowered to that of almost pure Cu within a maximum processing temperature of about 400 oC. In addition, the constraints of sub-250 nm dimensions on alloy precipitation and microstructure evolution will be summarized. It will be shown that there are promising strategies for incorporating alloys into copper interconnections.
9:00 AM EL-FrM-3 Microstructure Evolution and Interfacial Reactions in Cu-Mg Alloy Films on SiO2
M.J. Frederick, R. Goswami, G. Ramanath (Rensselaer Polytechnic Institute)
Copper is currently the preferred interconnect metal in microelectronic devices due to its high electrical conductivity and electromigration resistance. Alloying Cu with a few at.% Mg has been shown to inhibit Cu diffusion into SiO2, promote adhesion to adjacent dielectric layers (presumably through interfacial phase formation), and increase electromigration resistance without significant decreases in conductivity. It has also been reported that Mg promotes a (002) preferred orientation. Here, we investigate mechanisms of microstructure evolution and interfacial reaction pathways in sputter-deposited Cu-Mg alloy films during annealing. We combine in situ resistivity measurements with ex-situ Rutherford backscattering spectroscopy (RBS), plan-view and cross-sectional transmission electron microscopy (TEM), and X-ray diffraction (XRD) to characterize grain size and orientation evolution, interfacial segregation and phase formation. The effects of Mg precipitation, interfacial segregation, and phase formation at the Cu/SiO2 interface, and their influence on grain size and preferred orientation are revealed for nominal Mg supersaturations from 0 to 15 at.%. We also present preliminary results on Mg/SiO2 structures to reveal interfacial phase formation, and epitaxial Cu-Mg(001) alloy films to the isolate effects of alloying on evolution of grain size and preferred orientation.
9:20 AM EL-FrM-4 An Investigation of Compound and Non-compound Forming Dilute Binary Cu-Alloy Thin Films
A. Gungor, K. Barmak (Carnegie Mellon University); C. Cabral, C. Lavoie, J.M.E. Harper (IBM T.J. Watson Research Center)
The control of microstructural features such as grain size and texture in very narrow copper interconnections is increasingly important as dimensions reach the 100 nm range. This is because the resistivity must be minimized, yet other properties including adhesion and resistance to void formation need continued improvement, which will require alloying additions to copper. We have undertaken a detailed investigation of dilute binary Cu alloy films, and in this paper we report on six systems, three of which, Cu-Al, -In, and -Ti, are compound forming and the other three of which, Cu-Ir, -Nb, and -W, are non-compound forming. We find that annealing results in the lowest resistivity and the strongest <111> fiber texture in Cu(Ti), while the largest grain size is seen in Cu(In) films. In addition, in previous work1 we have shown that the dissociation mode of the non-compound forming alloys can be categorized using the Cu-rich end of the respective binary phase diagrams and the resistance-temperature behavior of the alloy films. Based on this classification scheme, we will show that Cu-W belongs to category III since its resistance-temperature plots show multiple drops as seen for other alloys in this category, while Cu-Ir belongs to category II. The classification of Cu(Ir) is based on its high temperature behavior, whereby the resistance increase is a result of solute re-dissolution and not a result of agglomeration.


1 K. Barmak, G. A. Lucadamo, C. Cabral, Jr., C. Lavoie, and J. M. E. Harper, J. Appl. Phys.87, 2204 (2000).

9:40 AM EL-FrM-5 Interfaces in Copper Nanoconnections
P. Heino, E. Ristolainen (Tampere University of Technology, Finland)
Miniaturization of electronic devices leads to nanoscale structures in the near future. Mechanics of these nanostructures and their connections are not well understood, since the surfaces and interfaces play a major role at nanoscale. As the metallization and interconnect material copper seems to be the technological choice, mainly due to its low electrical resistance. In copper metallization, a barrier layer between copper and the rest of the system is needed to prevent diffusion and to have enough adhesion. In such disordered systems, the interfaces are often the weakest spots. To conclusion, the interfaces are the most important parts to study. Tantalum seems to be one of the most common barrier metals, and two different interfaces are formed in the Cu­Ta­Si system. We use molecular dynamics with embedded-atom or modified embedded-atom potentials as means. At the Cu­Ta interface, a strong positive correlation is found between energy and strength indicating those low-energy interfaces is weak. More details of the results from the Ta­Si system will be discussed at the conference.
10:00 AM EL-FrM-6 Cu Film Deposition under Low Energy Cu Ion Bombardment
K. Miyake (Saitama University, Japan); Y. Ishikawa, L. Won, M. Isshiki (Tohoku University, Japan); M. Yamashita (Seinan Industries Co., Ltd., Japan)
It is well known that low energy ion bombardment during film growth enhances surface atom migration and as a result film properties are much improved. We investigated Cu film deposition under low energy Cu ion bombardment using non-mass separated ion beam deposition system. To produce Cu ions we developed an RF excited Cu ion source, which is composed of Cu RF coil and high purity Cu rod target located in the center of the coil. An argon plasma was initially generated in the RF coil(pressure: 1 Pa, RF power: 200 W) and then, the Cu target was DC (1 kV) sputtered in the Ar plasma to generate sputtered Cu neutrals and Cu vapor. These Cu neutral particles were effectively Penning ionized in the Ar plasma and were converted to Cu ions. By applying negative bias voltage(-150 V) to a Si substrate, Cu films were deposited on the Si substrate at RT under 150 eV (or less due to collisions) Cu ion bombardment. Very fine grained Cu films were obtained by applying 150 eV bias voltage to the substrate. Film properties including microcrystalline structure, packing density, electrical resistivity, preferred orientation Cu(111), etc. were improved compared with the case of no substrate bias. The effect of low energy ion bombardment on these improvements will be discussed.
10:20 AM EL-FrM-7 Conductivity Issues with Cu at sub-100 nm Dimensions
S.M. Rossnagel (IBM T.J. Watson Research Center); C. Inoki, T.S. Kuan (SUNY-Albany)
At thicknesses or dimensions at or well below 100 nm, Cu resistivity increases significantly. The primary issues are the so-called size effect (scattering from surfaces), grain boundary scattering and orientation effects, surface roughness, and impurities. In addition, diffusion barriers will have a negative effect due to displacement of Cu layers. Measurements on polycrystalline and single crystal Cu films and some features down to 20 nm show resistivity increases as-high or higher than predicted, indicating diffuse electron scattering at surfaces. Varying the surfaces of the Cu, either the roughness or the composition of overlayers, shows some positive effects at limiting the large effect of the scattering. In addition, the size effects of other materials, such as Au and Al, indicate that at sub-40 nm dimensions, Cu may no longer be desireable.
10:40 AM EL-FrM-8 Cu ECD: Modeling the Effects of Additives
S. Sen (Rensselaer Polytechnic Institute); S. Soukane (Applied Materials); T.S. Cale (Rensselaer Polytechnic Institute)
Plating additives used in Cu ECD undergo complex reactions that are not well understood but are important to know for the optimization and control of these processes. Simulation results are presented on feature scale modeling of Cu ECD in the presence of additives, incorporating chemistry based models to describe the additive action. We consider an electrolyte bath with three species; cupric ions and two additives. One additive accelerates the copper deposition reaction and results in bump formation on the top and the second additive suppresses deposition at the feature mouth, resulting in more uniform deposition rates in the entire feature. The cupric ion reacts by a two-step charge transfer reaction described by Butler-Volmer kinetics. The accelerator kinetics is described following Moffat.1 The accelerator reacts catalytically with the cupric ions on the electrode enhancing the charge transfer reaction. Additionally the species coverage increases as the surface shrinks due to growth, increasing the deposition rate. The leveling agent adsorbs onto the electrode, occupying active sites and inhibiting copper deposition.2 It is used in the mass transfer limited regime, hence the inhibition is lower in the feature interior than at the top, resulting in more uniform deposition profiles. Feature scale simulations are done with the ECD module of EVOLVE,3 which has transient and steady state solvers for the governing diffusion-reaction equations, and allows inclusion of chemistry based models for the component reactions.

footnte 1T. P. Moffat, D. Wheeler, W. H. Huber and D. Josell, Electrochemical and Solid-State Letters, 4(4), pp. C26-C29, (2001).
2S. Soukane and T. S. Cale, Proceedings of the Seventeenth International VLSI Multilevel Interconnections Conference (VMIC), Tom Wade, ed., IMIC, 2000, pp. 260-262.
3EVOLVE is an extensible topography simulation framework. EVOLVE 5.0i was released in June 1999. Copyright 1990-2000, Timothy S. Cale.

11:00 AM EL-FrM-9 Comparison of TiN, TaN, and WNx as Diffusion Barriers for Copper on Silicon Dioxide: Thermal Annealing and Bias Temperature Stress Tests
H. Kizil, C.O. Steinbrüchel (RPI)
The stability of TiN, TaN, and WNx as diffusion barriers for Cu on silicon dioxide has been investigated using capacitance-vs-voltage (C-V) and leakage current-vs-voltage (I-V) measurements as a function of thermal annealing and bias temperature stress (BTS) conditions. Samples consisted of MOS capacitors with a film stack of 300 nm Cu/barrier/25 nm thermal silicon dioxide on Si. The barrier thickness was 5, 10, or 20 nm. The WNx was investigated at two different compositions. Compositional depth profiles were taken with XPS and SIMS. Samples were pre-annealed in Ar/3% hydrogen for 30 minutes at various temperatures. BTS tests were performed at 2 MV/cm and 150, 200, and 250 °C, for periods of up to one hour in flowing nitrogen. The main results can be summarized as follows: In order for BTS to yield negligible C-V flat-band voltage shifts, pre-annealing at 350 °C is necessary. This produces a substantial number of initial leakage current failures (i.e. before BTS) with TiN, but not with the other barrier materials. The leakage current in I-V tests is a much better test for barrier integrity than the flat-band voltage shift (or the absence thereof), in the sense that samples with minimal shifts in the C-V plots may still give unacceptably high leakage currents. TaN and WNx consistently behave better than TiN under all stress conditions. The effect of oxygen in the barrier and at the Cu/barrier interface is also discussed.
11:20 AM EL-FrM-10 Low-Temperature CVD of ZrB2 and CrB2 Metallic Ceramic Thin Films
E.J. Klein, D.-Y. Kim, G.K. Windler (University of Illinois at Urbana-Champaign); J.-H. Sung (Novellus); D.M. Goedde (Intel); G.S. Girolami, J.R. Abelson (University of Illinois at Urbana-Champaign)
Transition metal diborides are classified as "metallic ceramics" due to their high mechanical hardness, chemical stability, melting temperature and electrical conductivity. This combination of properties makes them attractive as diffusion barrier materials for the contact metallization in next-generation microelectronics or wide bandgap semiconductors. We report the low-temperature chemical vapor deposition of fully conformal ZrB2 and CrB2 thin films with essentially bulk properties. ZrB2 films are deposited at a substrate temperature of 300 C using the single-source precursor Zr(BH4)4 and a concurrent flux of atomic hydrogen produced by a remote hydrogen plasma source. The films have a B/Zr ratio of 2, electrical resistivity of 40 micro-ohm-cm, and appear amorphous in X-ray diffraction. By contrast, films grown without the use of atomic hydrogen are B-rich, oxidize rapidly in air, and have high resistivity; we will show using mass spectroscopy that the role of atomic hydrogen is to enhance the removal of excess boron from the growth surface as B2H6. A 20 nm thick stoichiometric ZrB2 film deposited onto doped c-Si(001) has a low contact resistivity and is an excellent diffusion barrier: a Cu film deposited by PVD onto the ZrB2 does not interdiffuse with Si after annealing for 30 minutes at 750 C. CrB2 is deposited by CVD at 300 C without atomic hydrogen using the new precursor Cr(B3H8)2, which we synthesized in our laboratories and report for the first time. We will report preliminary results on the composition, electrical, and diffusion barrier properties of CrB2 films.
Time Period FrM Sessions | Abstract Timeline | Topic EL Sessions | Time Periods | Topics | AVS2001 Schedule