AVS2000 Session PS2-WeA: Feature Evolution

Wednesday, October 4, 2000 2:00 PM in Room 311

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule

Start Invited? Item
2:00 PM PS2-WeA-1 Undercut and Bowing Characterisation in High Aspect Ratio Trenches of Poly-Si Etched in an ICP Reactor using Cryogenic SF6/O2 Chemistry Process
M. Boufnichel (University of Orleans, CNRS, France); S. Aachboun (ST Microelectronics, France); G. Marcos, P. Ranson (GREMI, France)
In the last few years, deep trenches in silicon obtained by plasma etching have been widely studied for MEMS and/or microelectronics applications. A cryogenic method with an SF6/O2 chemistry plasma in an Alcatel ICP reactor is used to achieve deep trenches with high aspect ratio (>10) and high anisotropy. The etching rate in 2 µm wide and 100 µm deep trenches is about 3.5µm/min. The slope of the trenches can be adjusted from 88° to 90° and selectivity is higher than 300. However, profiles need to be improved, mainly by reducing the undercut and the bowing effects. Undercut is a lateral etching occurring under the mask which enlarges the trench opening and bowing is a local lateral etching located on the sidewalls and resulting in profiles destruction. This study deals with improvements in these effects. We investigated the outcome of process parameters (pressure, bias voltage, temperature, oxygen flow rate) and mask characteristics (nature, thickness, side slope, trench width and length). We tested several more or less conducting masks: oxide, thermal oxide created from TEOS gas, PSG (Poly-Silicate Glass containing 4% of phosphor), Sandwich (one layer of Si between two layers of SiO2) and Al. The different mechanisms responsible for undercut and bowing are finally discussed and evaluated.
2:20 PM PS2-WeA-2 Origin of Sidewall Deposition during Cl2/O2 Etching of Sub Micron Features in Inductively Coupled Plasma Reactors
S.J. Ullal, A.R. Godfrey, E.S. Aydil (University of California, Santa Barbara); E.A. Edelberg, L.B. Braly, V. Vahedi, J. Daugherty (Lam Research Corporation)
Shallow trench isolation (STI), a manufacturing technique used to isolate transistors in integrated circuits (IC), involves etching a trench of specific dimensions and shape into a silicon substrate in a high density inductively coupled plasma reactor. The trench is later filled with a dielectric and polished, resulting in a filled isolated shallow trench. To attain the best device characteristics, the filled trench must not contain any voids, which could be formed during filling, and avoided by engineering tapered sidewalls. These profiles are formed by redeposition of etch products on the sidewalls of the trench. The taper angle depends on a delicate balance between etching and redeposition on the sidewalls. In order to meet the stringent requirements of the IC fabrication industry, the taper angle on the sidewalls must be invariant to the position of the trench on the wafer and also be reproducible from wafer-to-wafer. To achieve these goals, a fundamental understanding of the nature and the origin of sidewall deposition is required. A typical STI etching process using Cl2/O2 gases was used to illustrate the dependence of sidewall deposition on chamber wall condition. A novel diagnostic method based on in situ multiple total internal reflection Fourier transform infrared spectroscopy was used to study the nature of the species deposited on the walls of the chamber. Etch products such as SiClx were detected by optical emission spectroscopy and Fourier transform infrared spectroscopy within, and downstream of the chamber respectively. Scanning electron micrographs of sub 0.25 µm features were examined to determine the profiles after etching. Simultaneous monitoring of the etch products in the gas phase, on the reactor walls, and in the reactor exhaust provides evidence which suggests that the deposition on the sidewall occurs due to a direct flux of etch products leaving the trench, rather than redeposition from the gas phase.
2:40 PM PS2-WeA-3 Profile Simulation of Poly-Silicon Gate Features Etched with Cl2/HBr/O2 Plasmas
L.B. Braly, D. Cooperberg, V. Vahedi (Lam Research Corp.)
The stringent requirements for line-width control during etching of sub 0.18-micron features for polysilicon gate applications demand a better fundamental understanding of the basic mechanisms that lead to line-width variation. Several groups have proposed various mechanisms for sidewall deposition on etched profiles, as well as mechanisms for etching Si with Cl2/HBr/O2. We are using our feature profile simulator (along with diagnostics) to test various mechanisms. Measurements of ion flux and polysilicon etch rates under various conditions are used to determine polysilicon etch yields for Cl2 and HBr. The sidewall deposition model includes direct (line of site) and redepostion of etch products on the feature sidewalls. The validity of these mechanisms is tested by comparing predicted etched features against real etched features. The simulator is also calibrated using data from profile wafers and over-hang wafers. We will show comparisons between predicted profiles and etched profiles under conditions where line-width growth (CD gain) and line-width loss (CD loss) are observed.
3:00 PM PS2-WeA-4 Feature Profile Evolution during Pulsed Plasma Etching: Effects of Redeposition of Time-Dependent Etch Products
K. Ono, H. Kousaka (Kyoto University, Japan)
Pulsed plasma etching has recently been attracting much attention as an advanced processing technique in the fabrication of microelectronic devi ces. The inclusion of pulse repetition frequency and duty cycle provides us with additional control variables to optimize the plasma process. In contr ast to a relatively deep understanding of gas-phase chemical aspects of puls ed discharges and their effects on the processing, little work has been conc erned with time-varying surface chemistry therein. This paper is concerned with the surface chemistry and the resulting profile evolution during pulsed plasma etching of Si in Cl2. The time-dependent behavior of surface chlorination and ion-enhanced desorption of neutral Cl atoms adsorbed as wel l as reaction products SiClx from the surface is calculated in pulsed operation, using a simple model based on Langmuir adsorption kinetics. The etched profile evolution is then simulated for infinitely long trenches with different widths, taking into account the transport of ions and neutrals in microstructural features: geometrical shadowing of the structure, reemissio n of neutrals at the surface on incidence, and also redeposition of etch pro ducts from the surface being etched. The product species desorbed is assume d to be time-dependent, owing to reaction layer dynamics of the ion-assisted processes on a time-scale of micro to milliseconds: on a 1 ms time scale or shorter, tightly bound intermediates such as SiCl and SiCl2 are relea sed by momentum of the impinging ions, having large sticking probabilities o n surfaces; on a time scale of tens of milliseconds and longer, the ion-enha nced formation of SiCl3 and SiCl4 is expected, having smaller st icking probabilities. The numerical results indicate that the effect of red eposition of etch products is more significant for pulsed discharges with sh orter periods and smaller duty ratios, giving outwardly tapered profiles whi ch are more pronounced on narrower pattern-width or higher aspect-ratio feat ures. These results will also be compared with experiments.
3:20 PM PS2-WeA-5 A Model for Si Etching in an Inductively Coupled SF6/C4F8 Discharge
S. Rauf, W. Dauksher, V. Arunachalam, P. Ventzek (Motorola Inc.); L. Lea, S. Hall (Surface Technology Systems, UK)
Fluorine rich plasmas such as SF6 are known to rapidly etch Si. However, due to inadequate polymerization in SF6, it becomes difficult to anisotropically etch high aspect ratio features with straight sidewalls. Polymerizing gases such as C4F8 are therefore added, either directly in the SF6 discharge or in a separate polymerization step, to obtain the desired etch profiles. To understand the dynamics of Si etching in SF6/C4F8, an integrated equipment and feature scale model has been developed for these plasmas. The model is based on the Hybrid Plasma Equipment Model (HPEM) and Monte Carlo Feature Profile Model (MCFPM) from the University of Illinois. The gas phase chemical mechanisms for SF6 and C4F8 are primarily based on electron impact cross-sections available in the literature. Judicious adjustments have however been made to match model predictions with experiments. The surface mechanism for SF6 assumes reactive ion etching due to the combined effect of F and energetic ions. The C4F8 discharge can etch (due to the synergistic effect of ions, CFx radicals and F) and deposit polymer (due to CFx radicals). The equipment model has been validated using gas phase measurements while the feature scale model has been calibrated using etch/deposition rate measurements and comparing model predictions to etch profiles. Results show that the SF6 plasma is quite electronegative with SF6- and F- being the dominant negative ions. CF2 is the primary CFx radical in the C4F8 discharge, and it is the main precursor to polymer formation. The paper investigates Si etching in both a multi-step process (with separate etching and passivation steps) and a combined SF6/C4F8 discharge.
3:40 PM PS2-WeA-6 An Integrated Model for Oxide Etch using Fluorocarbon Plasmas
V. Arunachalam, S. Rauf, P. Ventzek, T. Sparks (Motorola Inc.)
Precise control of feature profile evolution during oxide etch using fluorocarbon plasmas is crucial to successful multilevel metallization. An important step in achieving this control is an understanding of the underlying physics and chemistry of the process across the various length scales ranging from the equipment scale to the feature scale, and their relationship to the equipment level knobs. This important step is captured through an integrated model comprised of an equipment scale model, a sheath model and a feature scale model. Using this model, the effect of typical process parameters on feature profile is examined in a generic inductively coupled plasma etching system. A surface chemistry mechanism developed using experimentally observed results is incorporated in the model. It includes processes such as polymer formation, ion assisted and thermal etching, ion sputtering and reflection, desorption and redeposition. The simulation results show the increase in anisotropy of the etch profile, decrease in the selectivity to the underlying silicon layer, and the transition from deposition to etch with increasing ion energy.
4:00 PM PS2-WeA-7 Modeling of Trench Filling During Ionized Metal Physical Vapor Deposition1
J. Lu, M.J. Kushner (University of Illinois at Urbana-Champaign)
Ionized Metal Physical Vapor Deposition (IMPVD) is used to deposit metal seed layers into high aspect ratio trenches in semiconductor processing. Conformal deposition and filling of trenches require an optimized ratio of neutral to ion flux, and optimized energy and angular distributions of the precursors. In this paper, we report on a reactor scale to feature scale computational investigation of Cu IMPVD in which this optimization is discussed. The computational tools used are the 2-dimensional Hybrid Plasma Equipment Model (HPEM) and the Monte Carlo Feature Scale Model (MCFPM). The HPEM produces species densities and source functions in the bulk plasma, and the trajectories of ions and neutral species onto the substrate. The MCFPM uses these fluxes and trajectories to evolve a deposition profile while considering energy and angular dependent deposition and sputtering probabilities. A surface diffusion algorithm was developed to avoid artificial dendritic growth. Cu deposition will be discussed for an inductively coupled plasma using a dc magnetron target. Typical operating conditions are 10's mTorr Ar buffer gas, 100's to 1000's kW ICP and magnetron power, and 10's V substrate bias. For constant ICP power, conformality improved and the likelihood of keyholes decreased as magnetron power decreased due to an increase in the ion-to-neutral ratio in the reactant fluxes. Micro-voids are sometimes produced for these otherwise "good" conditions due to microtrenching which occurs by ion-reflection neutrals during resputtering of the deposited metal. Similar effects are seen by increasing buffer gas pressure to increase ionization fraction. The consequences of surface diffusion on the profile will also be examined.


1
1Work supported by TAZ, Novellus, AMAT, SRC and NSF.

4:20 PM PS2-WeA-8 Process Characterization for Tapered Contact Etch
F.G. Celii, Q. He, J. DeBord (Texas Instruments, Inc.); H. Sakima (Tokyo Electron America)
The demands placed on lithography by the constant reduction in feature size can sometimes be alleviated in conjunction with the etch process. For line patterning, photoresist trimming gives a large etch bias and reduces linewidths well-below the lithography limit. For hole patterning, a tapered etch profile results in a bottom hole diameter which can be significantly smaller than the patterned CD. We report the characterization of contact etch processes which give variable sidewall taper angles. Patterning at 248 nm gave contact holes at ~0.19 µm diameter in photoresist over organic BARC. The contact stack (BARC/oxide/SiN, 6 - 10 kÅ total stack thickness) was etched in a medium-density TEL Dipole Ring Magnetron (DRM) system. Bottom hole diameters ranging from 0.17 µm down to 0.10 µm could be obtained by varying the oxide etch process, which included C4F8 or C5F8, O2 and Ar. Moderate etch selectivity to SiN (>10:1) was needed because of the bi-level contact height (over gate or over active). Etched patterned wafers were characterized using top-down CD-SEM, cross-section SEM and TEM. Ex situ surface analysis of etched blanket wafers was made to test the model of fluorocarbon film control of etch selectivity. Electrical properties of the W-filled contacts will also be summarized.
4:40 PM PS2-WeA-9 Understanding the Evolution of Trench Profiles in the Via-First Dual Damascene Integration Scheme
T. Kropewnicki, K. Doan, B. Tang, C. Björkman (Applied Materials)
Many surprising shapes of via profiles and trench bottoms have been observed during trench etch of the via-first dual damascene integration scheme. The most common features are faceting of the existing via holes as the trench etch progresses and fencing around the via holes. These particular features can lead to problems during copper metallization and ultimately to device failure. Therefore, it is imperative that the evolution of these features be understood so that they can be avoided. This paper will present experimental results indicating that the evolution of these features is heavily dependent upon the existing via profile and whether bottom antireflection coating (BARC) or photoresist (PR) is in the via hole prior to starting the trench etch. The proposed mechanism for faceting of the via hole is preferential sputtering of the top edge of the via. Fencing results when BARC or PR on the via sidewall vertically mask the underlying oxide when the via wall is sloped. Our empirical model for fence and facet formation was confirmed by a simple profile simulator. Finally, several options for avoiding the evolution of fencing and faceting during the trench etch will be proposed.
5:00 PM PS2-WeA-10 Microtrenching, Etching and Sidewall Passivation in Contact Holes and Edge Regions
B. Abraham-Shrauner, C. Liu (Washington University)
Our analytical/numerical models for etching in semiconductor fabrication of integrated circuits are extended to include sidewall passivation and microtreching for contact holes (vias) and edge regions. The models fit oxide etch profiles in SEMS in a CF4/CHF3/Ar plasma.1 Neither grazing scattering of ions from the feature sidewalls2 nor the deviation of the ion trajectories by sidewall charging3 are needed to model mild microtrenching. The model for contact holes includes a new approximate analytic expression for the ion energy flux, Langmuir kinetics for the ions and etching neutrals and the flux for deposition neutrals. The deposition neutrals are modeled by an interpolation between shadowed and isotropic neutrals. The edge region (half trench) model may include enhanced microtreching by scattered ions or distortion of ion trajectories by sidewall charging. The basic shape of the etch profile of the half trench is determined by the ion energy flux and the deposition flux and most of the sidewall is a characteristic of the evolution PDE.


1 Etch profile data was furnished by M. J. Buie and J. T. P. Pender of Applied Materials.
2 M. Schaepkens and G. S. Oehrlein, Applied Phys. Lett. 72, 1293(1998).
3 T. J. Dalton, J. C. Arnold, H. H. Sawin, S. Swan, D. Corliss, J. Electrochem. Soc. 140, 2395 (1993).

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS2000 Schedule