AVS2000 Session MM-WeA: MEMS Processing

Wednesday, October 4, 2000 2:00 PM in Room 309

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic MM Sessions | Time Periods | Topics | AVS2000 Schedule

Start Invited? Item
2:00 PM MM-WeA-1 Surface Micromachining - Process Modeling
C.C. Wong, P. Ho (Sandia National Laboratories); R.W. Walker (Los Alamos National Laboratory); R.P. Pawlowski (Sandia National Laboratories)
Advances in microsystem technology are allowing increasingly complex micro-structures to be built. The ability to visualize structures during the design phase is becoming correspondingly more important. Surface micromachining involves multiple deposition (CVD) and etching (plasma or wet) steps, and each step can introduce non-idealities to the geometry of the structure. Virtual prototyping can speed the design process and reduce the time lost when flaws are discovered during fabrication. Predicting the true dimensions of the final product requires that the effects of the manufacturing processes be modeled accurately. At Sandia, we are developing detailed "physics-based" models to generate the correct shape of micro-features. A modular approach examines the various processes used in device fabrication, and separates the disparate length scales in the fabrication processes. The initial studies investigate the low pressure CVD of polysilicon from silane, which deposits the structural Si material. First, models of the process reactor, MPSalsa (3D) and OvenD (two coupled 1D models), analyze the reacting flows in the multi-wafer furnace. Using a published silane mechanism, the predicted deposition rates for the standard conditions agree with experiment (within 15%). Results from the reactor-scale models are then used as boundary conditions for feature-scale models of the time-dependent evolution of the polysilicon. Simulations using TopoSim3D reproduce the observed conformal deposition. Wet-etch processes to remove sacrificial oxide layers are also being studied. Preliminary analyses using the 3-D GOMA code give reasonable agreement between experiment and the predicted position of the advancing etch front.
2:20 PM MM-WeA-2 Application of Magnetic Neutral Loop Discharge Plasma in Deep Silica Etching
W. Chen, K. Sugita, T. Hayashi, T. Uchida (ULVAC JAPAN, Ltd.)
Generally, plasma density is well known to be concerned with etch rate, and electron temperature is a key parameter for the decomposition of reactive gases which is also relative to the operating pressure. So, margin of plasma production in process can be defined as the highest density, lowest applicable electron temperature and pressure, which indicate the variability of gas chemistry for processes. Process pressure is an especially important parameter for the Micro-Electro-Mechanical-Systems (MEMS). Metal mask and/or doped glass are usually used. These metal masks, such as Cr, Ni and WSi, and doped materials do not reactive to the dominant reactive species of CFx, which are consumed by physical sputtering mainly. Certainly, the sputtered clusters are easily re-deposited to sample substrate by collision of the sputtered metal cluster with neutral gases in high-pressure process, which may result in a rough surface and large taper angle. Magnetic neutral loop discharge (NLD) plasma1-3 is a wide marginal one with a high density (>1011 cm-3) and low electron temperature of about 2.5eV in a very low pressure of about 0.2Pa. Using NLD system, vertical trench structures for optical wave-guide were successfully fabricated on fused quartz glass and silica film with very smooth surface in the depth of 6-30mm. Meanwhile, the etch rate were kept greater than 500nm/min with good uniformity (deviation of σ<1%) on 6 inch, wafer, where hard mask of Cr, Ni and WSi were used.


1T. Uchida : Jpn. J. Appl. Phys., 33 (1994) L43.
2W. Chen, M. Itoh, T. Hayashi, and T. Uchida, Jpn. J. Appl. Phys. 37, 332 (1998).
3W. Chen, Y. Morikawa, M. Itoh, T. Hayashi, K. Sugita, H. Shindo and T. Uchida: J. Vac. Sci. Technol., A17(5) (1999) 2546.

2:40 PM MM-WeA-3 Application of Deep Silicon Etching and Wafer Bonding in the MicroManufacturing of Turbochargers and Micro Gas Turbine Engines
A.A. Ayon, J. Protz, R. Khanna, X. Zhang, A. Epstein (Massachusetts Institute of Technology)
This paper describes the first successful micromanufacturing of MEMS turbochargers and micro gas turbine engines (MGTE), complete with an integrated compressor, turbine, and combustor. The realization of both devices involves the deep etching and fusion bonding of six single-crystal silicon wafers. The deep etching steps define turbomachinery and gas bearings, associated with the rotor, as well as fluidic interconnects and instrumentation access ports. A turbocharger includes a freely rotating rotor comprised of a turbine and a compressor mounted on the same shaft. It increases the power output of the engine to which it is attached by effectively acting as a pump to force more fuel into the engine. With this scheme, the turbine extracts power from the hot exhaust gas stream to drive the compressor that, in turn, raises the fluid density, and, hence, the mass flow rate to the engine. Turbochargers have applications to conventional and rocket engines, fuel cells, and microfluidic systems. A MGTE integrates a combustor with the turbocharger, making the device a complete, self-powered engine, for propulsion and electrical power generation applications. For the fabrication of the MGTE, the turbocharger geometry was modified to include a cooling jacket that linked the compressor and turbine and that also surrounded a combustion chamber. This introduced additional challenges for the microfabrication of three of the six required wafers, due to the extensive removal of the underlying silicon substrate and the concomitant fragility of the wafers involved. Fully operational, the demonstration MGTE is expected to have a rotor spinning at the rate of 1.2 million rpm, burn 16 g/hour of H2 fuel and produce 11 g of thrust. The present work is applicable to projects with intricate geometries requiring stacks of bonded wafers. We describe and discuss the etching and bonding challenges, as well as observations and results obtained in the microfabrication of these heat engines.
3:20 PM MM-WeA-5 MEMS Fabrication Technology Applied to Large Area X-ray Image-sensor Arrays
J.H. Daniel, B. Krusor, R. Apte, R.A. Street (Xerox Palo Alto Research Center); A. Goredema, P. Kazmaier (Xerox Research Center Canada)
Micromachining has potential applications for large area image sensors and displays, but conventional MEMS technology, based on crystalline silicon wafers cannot be used. Instead, large area devices use deposited films on glass substrates. This presents many challenges for MEMS, both as regards materials for micro-machined structures and the integration with large area electronic devices. We are exploring the novel thick photoresist SU-8, as well as plating techniques for the fabrication of large area MEMS. As an example of its application, we have applied this MEMS technology to improve the performance of an amorphous silicon based image sensor array. SU-8 is explored as the structural material for the X-ray conversion screen and as a thick interlayer dielectric for the thin film readout electronics of the imager. Medical X-ray imagers have a thick (200-500 micron) layer of phosphor, which converts the X-rays into visible light, placed directly on top of the photodiodes. Spatial resolution is limited because of light scattering in the phosphor. In order to obtain the full resolution of the pixel array the phosphor layer needs to be micro-patterned into cells which collimate the generated light. This cell structure was patterned with a 300-400 micron thick layer of SU-8. The SU-8 cell walls need to be made reflective in order to prevent light from scattering into a neighboring cell which is achieved by sputtering a thin metal layer. Subsequently the cells are filled with a phosphor. A second application is the use of SU-8 as a thick interlayer dielectric to reduce noise due to capacitive coupling in the thin film electronic circuit of the imager. Nickel electroplating is used to metallize the deep contact vias. The compatibility of SU-8 with thin film deposition methods, such as amorphous silicon PECVD, will be discussed. Processing challenges which are particularly important for large area fabrication, will be addressed.
3:40 PM MM-WeA-6 Switching of Interfacial Energies in Polymeric Coatings
B.C. Bunker, J.G. Kushmerick, W.L. Smith, M.S. Kent (Sandia National Laboratories); G.P. Lopez (University of New Mexico); X.-Y. Zhu (University of Minnesota); D.L. Huber, T.D. Dunbar (Sandia National Laboratories)
Polymeric coatings are under investigation for controlled switching of interfacial energies in microanalytical systems. Switching of water contact angles using films of poly n-isopropylacrylamide (PNIPAM) is of interest for driving liquids in microchannels, while switching of polymer configurations within polyethylene oxide (PEO) films could control properties such as protein adsorption. Films of PNIPAM and PEO have been tethered to gold and silicon substrates. Thermal and electrical stimuli have then been applied to the films to try to induce inverse temperature transitions. Film behavior has been monitored using a combination of contact angle measurements and force-distance profiles obtained using an interfacial force microscope. Results show that below the phase transition, PEO films are hydrated, and are attractive to scanning probe tips. Above the transition, the water is removed and the attractive potential drops by an order of magnitude. Moving above and below the transition temperature in PNIPAM films can induce changes in the water contact angle of from 10° up to 60° depending on how the polymer is tethered to the surface. The number of switching cycles is also dependent on the nature of the polymer-substrate interface. Limited switching is sometimes associated with decomposition or desorption of the active polymer. Such decomposition is illustrated for the specific example of a short-chain PEO film (EG3) attached to gold via a thiol coupling agent.
4:00 PM MM-WeA-7 A Novel Anti-Stiction Method Using the Harmonic Excitation on the Microstructure
W.P. Lai, W. Fang (National Tsing Hua University, Taiwan)
The sticking problem due to the reaction mechanism between rinse liquid and microstructures becomes a serious issue in micromachining fabrication processes. A novel anti-stiction method using the harmonic excitation on the microstructure is presented in this research. The proposed method had been studied through the analytical and experimental approaches. Consequently, the yield of the micromachining processes can be significantly improved by this technique. We exploit a simplified model consisting of a single degree of freedom mass-spring-damper system to simulate the drying process of the microstructure. In this model, the equivalent spring effect mainly comes from the Laplace pressure1 of the liquid film and the equivalent damping effect 2 is due to the squeeze3 of the liquid film. Based on the analysis, the dynamic response of the microstructure including the resonant frequency and the damping characteristic of the drying system were predicted. Analysis results displayed that the system is initially underdamped, then is critically damped, and finally is overdamped when the drying time increased. Hence the cantilever beam could be separated with the liquid film by the harmonic excitation at the underdamped condition. In application of the proposed anti-stiction technique, we fabricated silicon oxide cantilevers on (111) silicon wafer. The micromachined cantilever was 0.7 µm thick, 16 µm wide, and 120 µm long, and the gap between the beam and the substrate was 4 µm. The sample was excited by a PZT actuator at various frequencies. After excited by a 250 KHz harmonic load for 10~110 seconds, the beam was released from the substrate. However, the beams were sticking to the substrate permanently if they were not driven by the harmonic excitation. The application of this technique is also demonstrated by the experiment. Theoretical predictions of the dynamic behavior of microstructure during drying process agree well with experimental results. In short, the proposed technique effectively enhances yield rate of the microstructure without additional masks and complicated process. Although the application of the proposed approach is limited to the overdamped system, it provides an additional option to prevent stiction problem.


1Takeshi Abe, W. C. Messner, and M. L. Reed, 'Effects of elevated temperature treatments in microstructure release procedures' Journal of Microelectromechanical System, vol. 4, pp. 66-74, 1995.
2 H. Hosaka, K. Itao, and S. Kuroda, 'Damping characteristics of beam-shaped micro-oscillators' Sensors and Actuators A, vol. 49, pp. 87-95, 1995.
3 J. J. Blech 'On isothermal squeeze films' ASME Journal of Lubrication Technology, vol. 105, pp. 615-620, 1983.

4:20 PM MM-WeA-8 Control of Residual Stress in Thick Sputtered Metal Films
J.M. Melzak, D.A. Greer (Case Western Reserve University); S. Rajgopal, K.S. Lebouitz (XACTIX, Inc.); M. Mehregany (Case Western Reserve University)
Metals are the structural material of choice for a growing number of MEMS applications (e.g., optical elements, relays) because of their high reflectivity and low resistivity. This paper investigates the relationship between the parameters used to deposit such thick (1µm) films by DC magnetron sputtering and the resulting residual stress values. The materials of interest-aluminum, tungsten, and a ternary alloy of aluminum/silicon/copper-exhibit quite different residual stresses for a given set of deposition parameters, as well as reacting quite differently to changes in deposition parameters. For example, 1µm-thick tungsten films deposited on silicon at a pressure of 5 mTorr exhibit a compressive stress of 253 MPa while aluminum films deposited under the same conditions have a tensile stress of 73 MPa. Increasing the deposition pressure to 15 mTorr results in a highly tensile tungsten film, whereas the effect on aluminum's residual stress is minimal. As-deposited thick films of these materials have been characterized using wafer curvature, surface profilometry, and TEM analysis. Furthermore, a one-mask surface micromachining process that selectively etches the underlying silicon with xenon difluoride (XeF2) has been used to fabricate metal cantilever beams, in order to qualitatively examine residual stress gradient.
4:40 PM MM-WeA-9 SiC Surface Micromachining Process Development and Device Characterization
J.D. Scofield, B.N. Ganguly (Air Force Research Laboratory); A.J. Steckl (University of Cincinnati)
TA silicon carbide surface micromaching process has been developed for the fabrication of robust MEMS structures. A direct etch and release procedure has been demonstrated using both Silicon dioxide and poly-silicon sacrificial layers. Cubic silicon carbide films were grown and characterized on silicon nitride, silicon dioxide, and poly-Si to determine the most suitable sacrificial layer for device fabrication. Excellent film quality was obtained on all substrates investigated as determined by x-ray diffraction, IR absorption, and mechanical testing. Diagnostic and resonant structures were subsequently fabricated on the poly-Si based sacrificial layer coated substrates and characterized. On chip strain gauge characterization and Raman spectroscopy were both used to empirically determine the residual stresses present in the device structures. Resonance measurements were completed in order to ascertain Young's modulus of the mechanical films. Release of completed MEMS structures is accomplished using both wet and dry (XeF2) etch processes with excellent success realized in both cases. The results of these experiments, and target applications for the fabricated devices will be summarized in the proposed presentation.
5:00 PM MM-WeA-10 Micro- and Nanotube Fabrication using Deposited Porous Silicon
W.J. Nam, S. Bae, A.K. Kalkan, S.J. Fonash (The Pennsylvania State University)
There is a great deal of interest in micro- and nano- scale tube and channel structures. Conventional polycrystalline silicon (poly Si) material has been commonly used in such structures as a sacrificial layer. However, the etch rate of conventional poly Si sacrificial layers decreases rapidly in the case of the small etch access windows needed in etching small dimension structures due to reactant and reaction product transport limitations. Porous Si produced by electrochemical etching can eliminate these problems to some degree due to the multiplicity of transport paths to the access window but its formation requires wet processing. We solve this problem by introducing a new material, porous Si deposited using a high density plasma. Our deposited porous Si, produced using low temperature electron cyclotron resonance (ECR) deposition, can be made polycrystalline or amorphous and has a porosity that is controllable (up to ~90% porosity) without the need for any post-deposition etching. Used as a sacrificial layer, the material exhibits a high etch rate (1.5µm/min) since its connected-void morphology provides excellent transport pathways for the reactants and reaction products even when etched through a small access/exit window. The fast etch rate of this deposited porous Si prevents thinning or damaging of the other structural materials. We report specifically on structures using 500 Å of silicon dioxide as the substrate coating and etch stop layer during the sacrificial (porous Si) layer removal. In these structures, silicon nitride was used for a capping layer. The sacrificial porous Si material was etched out by using 5% tetramethyl ammonium hydroxide (TMAH), at either 30°C or 75°C. All layers (etch stop, sacrificial porous Si, and nitride) were produced in the same ECR tool.
Time Period WeA Sessions | Abstract Timeline | Topic MM Sessions | Time Periods | Topics | AVS2000 Schedule