AVS1999 Session MS-WeM: Metrology I

Wednesday, October 27, 1999 8:20 AM in Room 611

Wednesday Morning

Time Period WeM Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS1999 Schedule

Start Invited? Item
8:20 AM MS-WeM-1 Low Open Area Endpoint Detection of Plasma Etching Processes
B.E. Goodlin, H.H. Sawin (Massachusetts Institute of Technology)
Accurate determination of endpoint in plasma etching processes is essential to decrease defects due to both incomplete clearing of the etched material and excessive overetch of the underlying material, leading to a loss of dimension control. This is particularly challenging for low open area etches (<1%), where traditional sensors are at the limits of their sensitivities in determining endpoint. In previous work, we have investigated the use of multivariate analysis to improve the signal to noise of optical emission spectroscopy (OES) data. Improvements of signal to noise of well over 1000% was achieved versus the typical univariate endpoint detection mechanisms employed in industry. Nevertheless, in the lowest open area cases(<1%), difficulty was encountered due to the nonstationary time series behavior of the optical emission signals during main etch. This nonstationary behavior greatly obscured the ability to detect endpoint in these cases. In this work, we have explored several techniques by which to remove time series behavior in optical emission signals. After applying appropriate time series models, the revised data was then analyzed using a multivariate Hotelling's T2 method to see whether endpoint could adequately be detected in low open area etches. The results from historical data are very encouraging and further studies are underway to determine the robustness of this technique.
8:40 AM MS-WeM-2 Broad Band RF Based Sensing and Control of Reactive Ion Etching
C. Garvin, J.W. Grizzle (The University of Michigan)
This talk will present continued advances in the development of an in-situ RF sensing system for plasma assisted microelectronics processing. RF sensing has long been considered a potentially valuable diagnostic. However, despite much effort, results to date have been limited and mostly qualitative. Our past work has indicated that these limitations are due to inherently poor sensitivity in passive RF sensing. In addition, we have shown significantly better sensitivity to process conditions using a novel multi parameter variation of microwave spectroscopy, referred to as Broad Band RF. On a research reactor (GEC) and for simple chemistries, a non commercially viable version of the broad band probe was shown to be substantially better than standard RF sensing approaches at detecting process setpoints.1 Recently, we have developed a commercially viable non-contacting version of our broad band sensor, and have implemented it on a Lam 9400. The sensor has been used to develop an etch rate model for polysilicon in a Cl2/HBr chemistry.2 In this talk, we will present continued progress towards process control with the broad band sensor. Initial results indicate the broad band sensor is capable of endpointing performance that is at least as good as standard photo diode based OES methods. We will present further advances in a broad band etch rate model. Initial data indicates that an oxide etch rate model is at least as good as the polysilicon etch rate model already presented. Finally, we will investigate physically dominated and chemically dominated etch regimes in more detail. We will present results of initial work in these areas.


1
1 Garvin, C. Grimard, D. S., and Grizzle, J. W. "Advances in Broadband RF Sensing for Real-time Control of Plasma-Based Semiconductor Processing" JVSTA, Jul/Aug 1999
2 Garvin, C. Bilén, S. G. Stutzman, B. S. and Grizzle, J. W. "Implementing Broad Band RF Sensing on a Lam 9400 Reactor", The Electrochemical Society 195th Meeting, May 2-6 1999

9:00 AM MS-WeM-3 Diagnostic and Plasma Etch Endpoint Applications using Full Spectrum Optical Emission Spectroscopy
H.M. Anderson (University of New Mexico); S. Gunther, W. Branagh, J. Rivers, B. Fry (CETAC Technologies)
The advantages of full spectrum optical emission spectroscopy (OES) over monochromator based systems has been readily demonstrated. Traditionally, monochromator based systems have been used to determine endpoint by monitoring one or two strongly emitting wavelengths. For exposed open areas of <1.0%, a more sensitive approach is required for the next generation of chips. Array detector based systems can provide a wealth of spectral information from a variety of potentially useful gas phase emitting species. In the case of particularly challenging applications such as reverse mask shallow trench isolation (STI) and contact etches, utilization of the full optical emission spectrum has been shown to provide tangible benefits. Production facility results regarding these and other demanding applications will be presented. The talk will largely focus on oxide etching in AMAT MXP and AMAT HDP platforms. Evolving Window Factor Analysis (EWFA) and Multiple Curve Resolution (MCR) are the princpal multivariate techniques used in the analysis. They allow one to dynamically track the principal components of the oxide etch process. EWFA is also shown to useful for automatic fault detection. MCR is used to depict the dynamic rate of formation (or depletion) of the principal chemical species in the plasma during the etch.
9:20 AM MS-WeM-4 Real Time Control of Plasma Deposited Optical Filters by Multiwavelength Ellipsometry
T. Heitz, P. Bulkin, A. Hofrichter, F. Chataignere, B. Drevillon (CNRS-Ecole Polytechnique France)
Multilayer and gradient coatings allow the integration of advanced functionnalities. But due to their complexity, in-situ probes are necessary to control the process and obtain a good reproducibility. Our optical filters (Fabry-Perot, anti-reflective or heat-reflecting coatings) consist of SiOxNy multilayers and/or graded index profile structures deposited at room temperature in an IDECR reactor on glass, PMMA or polycarbonate. Due to its thickness sensitivity, multiwavelength UV-visible phase-modulated ellipsometry was chosen to monitor the growth process. The control strategy is based on minimising the sum of the distances between the measured point and the theoretical end point of the optical trajectory at 4 wavelengths. This strategy is proved to be very efficient and independent of the deposition rate. As substrates are thick and transparent, the theoretical trajectories have been calculated using the incoherent reflection model which takes into account backreflection. Moreover, to model possible anisotropy effects, optical calculations have been developed based on a non-diagonal dielectric matrix for the susbtrate. In order to build a closed-loop automation system, efficient algorithms have been developed to determine in real time the optical properties and the current deposition rate. Using 4-wavelength optical data, 1 sec step acquisition and appropriated dispersion laws, the refractive index and the current thickness can be calculated which allows to correct in real time the gas flow values. The high quality of the filters deposited using the ellipsometric monitoring system is demonstrated by comparing experimental and theoretical transmission/reflection curves in terms of peak positions and bandwidth.
9:40 AM MS-WeM-5 Real Time and Run-to-Run Process Control of Plasma Processes Using Internal Machine and External Sensor Data
F.H. Bell, D. Knobloch (Infineon Technologies AG, Germany); J. Zimpel, K. Voigtlaender (Fraunhofer Institute, Germany); J. Mathuni, P. Hoehmann (Infineon Technologies AG, Germany)
An automatic extraction of key numbers (per wafer) from internal raw machine data and external sensor data has been established for the supervision of plasma equipment and processes in high volume logic and DRAM fabs. The integration of external in-situ sensors in the fab-network of the different sites is realized using the home-built equipment integration software TICS (Tool Integration Concepts and Systems). One main goal of the external sensor integration is to establish plug-and-play modules, i.e. rapid integration of suitable sensors tackling equipment and process faults. Furthermore, analyses of online data over long time periods give information on tool- and chamber- matching, long term stability, trends over cleaning cycles, influence of recipe / product mix, first wafer / conditioning effects and arcing phenomena. Currently in use are electrical and optical sensors, such as optical emission spectroscopy, interferometry, ion flux probe, plasma impedance monitor. etc.. However, the more useful data is collected the more attention has to be paid on intelligent data treatment. A typical example is the need of data reduction in order to extract only process and equipment relevant key numbers. It will be shown that algorithms, such as principal component analyses, are excellent candidates to simplify the use of process control methods in a manufacturing environment. This talk will analyze the different approaches to control equipment and processes and the challenges that are faced by the semiconductor industry as the automation becomes more and more mandatory in the competition of IC-fabrication. Examples of real time control will also be given to demonstrate the benefit of sensors on manufacturing issues.
10:00 AM MS-WeM-6 Advanced Endpoint Capability in Plasma Processing Equipment Using Interferometer Technique
T. Ni (Lam Research Corp.)
A new interferometer system has been developed at Lam Research Corp.. It provides capability of in-situ etch depth measurement and can be used as an endpoint device when the traditional OES system fails. It consists of a light source and a CCD array spectrometer. A fiber optic cable delivers the light from the lamp to the center of a wafer being processed at normal incident angle. The CCD array spectrometer records the spectrum of the reflected light from the wafer surface. The optical window for light access is engineered to prevent polymer deposition. The analysis of the spectrum yields the thickness information of the films on the wafer. Frequently, the etch depth can be obtained by simply counting the interferometric fringes. With the use of a deep UV light source, an etch depth as shallow as 1000A can be accurately measured. The advantage of the interferometer is demonstrated for applications such as shallow trench isolation (STI) and recess etch. The traditional OES system cannot provide an endpoint signal since there is no stopping layer. Using the interferometer, the etch depth is monitored and etching process can be stopped when the desired depth is reached. In the case of poly gate etch with a very thin (10A-20A) gate oxide underlayer, the interferometer can be used to predict the remaining poly layer thickness so the process can be changed from the main etch process to the overetch process before the oxide layer is exposed. A typical overetch process has greater than 200:1 selectivity to underlayer, while the main etch selectivity typically is much less. Thus, punching-through of the oxide layer is prevented. The details of the interferometer and process results will be presented and discussed.
11:20 AM MS-WeM-10 Reaction Sensing in Multicomponent CVD Processes using an Acoustic Sensor
L. Henn-Lecordier, G.W. Rubloff, J.N. Kidder, Jr. (University of Maryland); C. Gogol, A. Wajid (Leybold Inficon Inc.)
Downstream or in-reactor chemical sensing provides information about the extent of reaction in a chemical vapor deposition process. Prior approaches have employed mass spectrometry or optical techniques. Here we utilize for this purpose an acoustic sensor which measures the sound velocity in bicomponent or multicomponent gas mixtures in the viscous flow regime. This sensor, the recently introduced Leybold Inficon ComposerTM, has been employed primarily to measure and confirm bicomponent inlet mixtures from MOCVD bubbler sources for process reproducibility. Here we have explored its use in downstream locations, either after or at the reactor, where the influence of the CVD reaction can be monitored in the form of gas composition changes resulting from reactant depletion and/or product generation. For W CVD processes, the heavy WF6 reactant mixed with H2 or SiH4 provides substantial molecular weight contrast, so that depletion of WF6 is readily observed. To achieve sufficiently high pressures ( ~50-100 torr) for acoustic wave propagation in a viscous medium, sensing is carried out downstream of a mechanical pump. For H2/WF6 mixtures, depletion levels as small as 1% or less are detectable. This is sufficient for wafer state thickness metrology and monitoring of reactant utilization efficiency, suggesting a promising approach to reaction metrology. However, the corrosive nature of the reactant gasees normally necessitates a N2 purge of the pump. With the molecular weight of typical H2/WF6 mixtures close to that of N2, this degrades sensitivity to of order several %. A variety of other implementation issues will be discussed, along with an assessment of a variety of process applications.
11:40 AM MS-WeM-11 Improvements in Wafer Temperature Measurements
A. Cardoso, A.K. Srivastava (Eaton SEO)
Accurate and repeatable wafer temperature measurement and control is critical in many semiconductor processing applications. Many of these applications are done at moderate pressures (.5 - 2 Torr) where thermal contact resistance between the wafer and a contact temperature probe is high, and could vary wafer-to-wafer. The result is an unpredictable difference between the actual wafer temperature and contact measurement probe due to heat transfer across this interface from exothermic reactions, hot plasma gases, or radiant heating. In some applications, this temperature difference is so great that backside helium cooled electrostatic chucks are used to minimize this effect. In many applications, the use of this type of solution is not practical but accurate and repeatable wafer temperature measurement is still required. A new temperature sensor has been developed that utilizes gas injection through a pinhole in a thermocouple pad that creates a "micro-environment" between the pad and the wafer. This results in reduced thermal contact resistance, and more accurate and repeatable temperature measurement. Temperature data on the operation of this sensor during wafer processing will be presented, showing significant improvement over prior state of the art. Data verifying the robustness of this probe will be shown. Additionally, diffuse reflectance spectroscopy will be used as a non-contact temperature measurement technique to corroborate data from the new gas-cushion thermocouple.
Time Period WeM Sessions | Abstract Timeline | Topic MS Sessions | Time Periods | Topics | AVS1999 Schedule