AVS1998 Session PS-ThP: Plasma Science and Technology Division Poster Session

Thursday, November 5, 1998 5:30 PM in Room Hall A

Thursday Afternoon

Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1998 Schedule

PS-ThP-1 Improvement on Lithography Pattern Profile by Plasma Treatment
C.P. Soo (National University of Singapore); M.H. Fan (Chartered Semiconductor Manufacturing Ltd., Singapore); A.J. Bourdillon (National University of Singapore); L.H. Chan (Chartered Semiconductor Manufacturing Ltd, Singapore)
Chemically amplified (CA) resist is designed to have high sensitivity towards KrF excimer laser stepper. Unavoidably, this brings about substrate-specific effect as well. A few years recently, there are publications reported that during investigation of resist pattern profile by cross-sectional SEM micrographs, positive CA resist on nitride wafers revealed ?footing?.1 Various kinds of postulation had been made for degradation of resist pattern profile at resist/substrate interface by substrate poisoning. Sturtevant et al.2 reported that the chemical contaminants of substrate degraded the resist patterns on nitride and other substrate. A. Usujima et al.1 reported that substrate treatment using oxygen plasma is extremely effective in improvement on resist footing problem. However, down-stream oxygen plasma treatment needed to be optimized as excessive plasma treatment gave adverse performance because of surface damage. In this study, further optimization on oxygen plasma treatment was done. Besides using oxygen plasma, N2O plasma treatment, was experimented to obtain repeatable straight resist profile. Besides , the substrate-specific effect was discussed from the standpoint of chemical interference from nitride substrate. The contaminants on the nitride substrate was studied by XPS and GC mass-spectrometry. XPS and GC mass-spectrometry were also used to investigate the effect of various surface treatments. The performance of each kind of surface treatment was evaluated by looking at cross-sectional profiles.


1
1 A. Usujima, K. Tago, A. Oikawa, K. Nakagawa, "Effects of substrate treatment in positive chemically-amplified resist", Proc. SPIE, vol 2438, pp529-39, 1995
2 J. Sturtevant, S. Holmes, S. Knight, D. Poley, P. Rabidoux, L. Somerville, T. McDevitt, E. Valentine, W. Conley, A. Katnani, J. Fahey, "Substrate contamination effects in the processing of chemically amplified DUV photoresists", Proc. SPIE, vol 2197, pp770, 1994.

PS-ThP-2 Tantalum Film for X-ray Lithography Mask Deposited by Electron Cyclotron Resonance Plasma Source Coupled with Divided Microwaves
H. Nishimura, T. Ono, M. Oda, S. Matsuo (NTT System Electronics Laboratories, Japan)
An advanced ECR plasma source by which a conductive film can be stably formed has been developed and applied to Ta film formation.1 We investigate the applicability of ECR-Ta as absorbers of x-ray masks. It is found from TEM observation that an ECR-Ta film is a polycrystal film that has a large grain size of (>0.5 µm) and a dense microstructure. The x-ray absorber material must satisfy several conditions, such as low, uniform, and stable stress. The stress change of ECR-Ta film is rarely observed not only in the air but also under the SR irradiation condition. In order to control film stress, we have investigated the stress-depth distribution. The stress is analyzed to be a sum of an interfacial stress (retained near the film-substrate interface) and a bulk stress (retained in the film bulk). These individual stresses can be independently controlled. The interfacial stress depends on the substrate temperature, working-gas pressure, and deposition rate. The bulk stress varies toward compressive by adding Ar to the sputtering gas (Xe). A low stress film with no stress-depth distribution (15 MPa at 200-400 nm) is obtained by optimizing deposition parameters. A highly accurate x ray mask is achieved by using an ECR-Ta film. These results show that the dense microstructure including the grain boundary rather than the film structure (polycrystal or amorphous) is important for a highly accurate x-ray mask. The ECR sputter method is suitable for depositing dense microstructure film because of the enhancement of film formation reaction on the surface by low energy (10-30 eV) ion irradiation.


1 H. Nishimura, et al., J. Vac. Sci. Technol. A15 (19 97) 707.

PS-ThP-3 Control of Ion Energy Distribution at Substrates During Plasma Processing
S.B. Wang, A.E. Wendt (University of Wisconsin, Madison)
It is well-known that ion bombardment of the substrate is one factor that makes plasma processing indispensable in semiconductor fabrication. In an effort to understanding the factors governing selectivity in oxide etch processes, we examine the energy of ion bombarding the substrate. We start with a design study for an experimental system producing a narrow distribution of ion energies at the substrate. With fine control over the energy of the nearly monoenergetic ions, we can elucidate the effect of ion energy on selectivity. The ion energy distribution reaching the substrate has been investigated by a self-consistent spherical shell plasma model. The results show that the broadening of ion energy distribution depends on the area ratio of substrate to grounded wall which is varied by changing the radii of the two spherical shell electrodes. As the area ratio increases, the plasma potential is more strongly modulated by the rf potential on the powered electrode. Therefore, the ion energy, which is proportional to the difference between plasma potential and substrate potential, becomes narrower with increasing area ratio. This result suggests differences in processing performance between tools with similar plasma conditions but different effective grounded wall areas, such as ECR and inductively coupled plasmas. In addition, we show that the ion energy distribution can be controlled by modulation of the voltage waveform applied to the substrate electrode. In the simulation, the broadening shrinks as low as ~2Te(Te is electron temperature in Volts) as compared to 20Te or greater for a sinusoidal voltage waveform. In addition, the shape of the energy distribution is single peak rather than a saddle. This is a good tool for threshold energy studies. Based on these results, we have designed a system for experimental study of ion energy thresholds for selective etching. Experiments in ion energy control and selectivity improvement in experiment are currently underway. This work supported by NSF Grant #EEC8721545
PS-ThP-4 Simulations of Electronegative Discharge Sheaths1
S.K. Kanakasabapathy, J.L. Kleber, L.J. Overzet (University of Texas, Dallas)
Measuring negative ion concentrations in discharges continues to be difficult. Negative ions do not easily escape the glow center and as a consequence are more difficult to probe than electrons or positive ions. When the negative ion concentration becomes a large enough fraction of the total negative charge, however, the plasma kinetics can become dominated by the ions, and the electrons can become relatively insignificant. This kind of plasma is sometimes referred to as an "ion-ion plasma." We have developed a one-dimensional, time dependent, fluid model (SHEATHSIM) to simulate the behavior of ions and electrons near RF biased electrodes, double probes and a novel four point probe in just such electronegative plasmas. In each sheath, the ion momentum conservation and continuity equations, closed by Poisson's equation are solved for a given set of bulk densities, temperatures and mean free path lengths. The set of equations for two such sheaths are closed by a common bulk potential and current continuity. Such modeling helps us to understand the measurements we are making of highly electronegative "ion-ion" discharges. In this poster, we will present the results from our simulation as compared to measurements made in SF6 and Cl2 discharges.


1
1 This material is based upon work supported by the National Science Foundation under Grant No. CTS-9713262 and by the State of Texas Advanced Research Program under Grant No. 009741-043.

PS-ThP-5 Negative Ions in Inductively Coupled Plasmas1
J.L. Kleber, L.J. Overzet (University of Texas, Dallas)
The effects of negative ions on continuous wave and pulsed low pressure inductively coupled plasmas (ICP's) are being investigated using a Langmuir probe and a microwave interferometer. The sheath resistance (Rsh) can cause the plasma potential to rise when a Langmuir probe in the plasma is biased above floating potential.2 This can lead to errors in calculating plasma parameters such as electron density (ne), electron temperature, and the electron energy probability function (EEPF). We have measured Rsh for continuous wave ICP's in the Gaseous Electronics Conference (GEC) reactor in argon and nitrogen and will measure it in a mixture of argon and chlorine all at or under 50 mTorr. We found that Rsh had a power law dependence on ne and will demonstrate how this arises. We have also investigated the spatial and temporal behavior of plasma parameters in pulsed pure argon ICP's and will investigate them in a mixture of argon and chlorine. We focus on the afterglow when it is possible for the negative ions to influence more the chemistry of the plasma as the electron density decays.


1This material is based upon work supported by a National Science Foundation under a Graduate Research Fellowship and Grant No. CTS-9713262 and by the State of Texas Advanced Research Program under Grant No. 009741-043.
2M. B. Hopkins, J. Res. NIST 100, 415-425 (1995)

PS-ThP-6 Time Resolved Electrostatic Probe Measurements of Electron Temperature and Plasma Density Radial Profiles in a Pulsed ICP Plasma
X.M. Tang, D.M. Manos (College of William and Mary)
This paper reports results of a study of a pulsed 13.6 MHz ICP plasma which has been configured for multiple purposes, including etch, cleaning, and deposition. The pulse-packet frequency can be varied over a wide range. For these studies it was varied from 50Hz to 10kHz to create plasmas in a variety of gas mixtures including oxygen, carbon tetrafluoride, and argon. The plasma is characterized using a variety of methods, including electrostatic probes and optical emission. Probe data were analyzed using nonlinear regression methods and equivalent resistance methods. The comparative advantages of each will be discussed. The agreement between the methods is good. Spatially resolved measurements of the time-dependent electron density and temperature, taken on the time scale of the power modulation, are presented as a function of rf power, frequency, duty cycle, and gas composition. These results confirm, among other things, that the modulated plasma density is higher than the plasma density resulting from CW operation at the same average power. High-temperature transients at the pulse onset do not appear at high frequencies. Comparisons with similar prior studies and with simulated plasma models will also be presented.
PS-ThP-7 Electron and Negative Ion Density in BCl3 / Cl2 / Ar Gas Mixtures
G.A. Hebner, M.G. Blain, T.W. Hamilton, C.A. Nichols, R.L. Jarecki (Sandia National Laboratories)
Electron and negative ion density have been measured in a modified Applied Materials DPS chamber using gas mixtures of BCl3, Cl2 and Ar. Measurements were performed for four different substrate types to examine the influence of surface material on the bulk plasma properties; aluminum, alumina, photoresist and Aluminum / PR. The plasma conditions of source power, bias power, pressure, Cl2 / BCl3 ratio, total flow rate and argon addition were varied over a wide operational parameter space. Electron densities in the Cl2 / BCl3 mixtures varied between 0.5 and 8.0 x 1012 cm-2 or approximately 0.25 to 4 x 1011 cm-3. Photodetachment measurements of the negative ion density indicate that the negative ion density is smaller than the electron density. In general, we noted that photoresist had a major influence on the electron and negative ion density. In most cases, the electron density above wafers with PR was a factor of two lower while the negative ion density was a factor of two higher than the aluminum or alumina surfaces. The trends observed in the DPS chamber were similar to trends observed in the previous measurements in the GEC rf reference cells at Sandia. The only exception was the pressure dependence of the electron and negative ion density. This difference in the two systems is likely related to the different coil configuration and geometry of the two chambers. This work was performed at Sandia National Laboratories and supported by SEMATECH, Applied Materials, and the United States Department of Energy (DE-AC04-94AL85000).
PS-ThP-8 Rare Gas Dilution of Fluorocarbon Plasmas: Te Measurements using TRG-OES and Processing Implications
H.L. Maynard (Bell Laboratories, Lucent Technologies); M.V. Malyshev (Bell Laboratories (Also at Princeton University)); W.W. Tai, V.M. Donnelly (Bell Laboratories, Lucent Technologies)
In the etching of sub-250 nm oxide contacts and vias with high-densityplasmas, rare gas dilution of the fluorocarbon etchant gas has several benefits, including the suppression of etch stop. The addition of a small amount of Ar to a C2F6 plasma in an Applied Materials' High Density Plasma etcher increases the oxide etching rate (as measured in features > 350 nm). Further addition of Ar does not decrease the oxide etching rate until the diluent concentration exceeds ~80%. For Ar dilution between 20-80%, sufficient fluoropolymer deposits on the oxide surface to provide reactant, and the energy required to drive the etching reaction can apparently be provided equally well by either an Ar or a CFx ion. For [Ar] > 80%, insufficient fluoropolymer is deposited to provide sufficient reactant for the oxide etching or to protect the photoresist. For relatively dilute mixtures (50 < [Ar] <80%), one can control the fluoropolymer deposition such that small features are not plugged with excess polymer -- (i.e. suppress etch stop). To better understand plasmas used in this processing regime, we have measured electron temperatures using Trace Rare Gas Optical Emission Spectroscopy.1 Previous attempts to measure Te using Langmuir probes in fluorocarbon plasmas have encountered many experimental difficulties, including the deposition of polymer films on the probe surface, oscillating plasma potentials, and inadequate plasma ground reference - often resulting in the reporting of elevated values of Te. With TRG-OES, one can measure Te in the plasma chemistry of interest, non-intrusively and irrespective of the etching or depositing nature of the gas phase chemistry. For a range of processing conditions, we find Te to be approximately 3 eV when the primary diluent is Ar. Te is substantially higher in Ne/C2F6 mixtures. In addition, F, C and O concentrations were measured using actinometry and will be presented.


1
1M. V. Malyshev and V. M. Donnelly, J. Vac. Sci. and Technol. 15, 550 (1997)

PS-ThP-9 Molecular Dynamics Simulation of Cu and Ar Ion Sputtering of Cu Surfaces1
J.D. Kress, D.E. Hanson, A.F. Voter (Los Alamos National Laboratory); C.-L. Liu, D.G. Coronell (Motorola)
In ionized physical vapor deposition (PVD) used in Cu interconnect technology, the interaction of energetic ions with the growing Cu substrate is not well characterized by a constant sticking probability independent of ion impact angle or energy. Such detailed information, not known experimentally, is necessary input for realistic feature scale modeling of step coverage in the metallization of vias and trenches in integrated circuits. Here we describe the results of molecular dynamics (MD) simulations of sputtering of Cu (111) and (100) surfaces by Cu and Ar ions suitable for incorporation into feature scale simulations. For the interatomic potentials, the many-body embedded atom method for Cu-Cu and a Ziegler-Biersack-Littmark pair potential for Ar-Cu were used. For each impact angle and energy (10 to 100 eV for Cu ion and 50 to 175 eV for Ar ion), a series of 150 impact events were run with an initial surface temperature of 300 K. At the end of each series, the average sputter yield (number of Cu atoms sputtered per impact), sticking probability, reflection angle and energy, and sputtered angle and energy were calculated as a function of impact energy and angle. For Cu and Ar ion impact energies below 50 eV or angles of incidence of 70 degrees or greater, the sputter yield is essentially zero. For Cu ion impact angles of 20 degrees or less, the sticking probability is essentially unity for all impact energies studied.


1
1Supported in part by US Department of Energy Cooperative Research and Development Agreements (CRADAs).

PS-ThP-10 Monte-Carlo Simulation of Atomic Scale InP Surface Etching
L. Houlet, A. Rhallabi, G. Turban (Institut des Materiaux de Nantes, France)
Monte-Carlo method has been applied to simulate CH4-H2 Reactive Ion Etching (RIE) process for an atomic scale InP Surface. Two neutral precursor types and one ion type have been considered in the surface etching process.CH3 and H adsorbed precursors are assumed to be bonded to the surface substrate leading to the desorption of the indium and phosphorous sites respectively, while the energetic ions allow the sputtering of the In(CH3)x and P(H)x (0≤x≤3). XPS analyses show that the ion bombardment gives rise to an amorphous surface. Consequently, the initial InP material was represented by the stoichiometric indium and hydrogen sites which were randomly placed in the 3D discrete network. The model takes account of precursor and site identities, ion preferential sputtering, isotropic transport of neutrals to the surface, adsorption, migration, reaction or desorption of neutrals as well as the independent choice of binding energies between each neutral and site type. The surface migration process is based on the empirical bond-breaking model which is used to determine the amount of energy which must be supplied for a physisorbed precursor to move from one site to another. This process depends on the substrate temperature and the bonded energies for each site type. By setting the available experimental data, the simulation results confirm the surface phosphorous depletion which was observed by XPS analyses. The increase in RMS roughness, the etching rate and neutral surface coverage is obtained when the atomic hydrogen ion flux ratio increases.
PS-ThP-11 Analysis of Fast Neutrals in Plasma Monitoring
J. Wei, R.E. Pedder (ABB Extrel)
Fast neutrals are generated in plasma and high energy ion sputtering processes. The kinetic energy of the fast moving molecules can be as high as a few hundred electron volts. The analysis of these fast neutrals with a regular residual gas analyzer has been shown to be very difficult in many cases. The first problem in the analysis is the decrease in the number of ions from the ionizer as the kinetic energy of the fast neutrals increases. The second problem is the loss of ion transmission through the quadrupole mass filter with the high energy ions from the fast neutrals. The combination of these problems often translates into distorted peak shapes in the mass spectrum and very low sensitivity for the fast neutrals. We will present the results on the analysis of fast moving neutrals with a quadrupole mass spectrometer. This quadrupole mass spectrometer is equipped with a biasable quadrupole mass filter assembly and an ion energy analyzer. With this setup, we can either slow down all the ions through a bias potential on the mass filter assembly or select only the ions at a given kinetic energy range and then slow them down to an optimum kinetic energy when they travel into the quadrupole mass filter. This bias potential on the quadrupole mass filter assembly minimizes the ion transmission loss through the quadrupole and restores the sensitivity for the detection of the fast neutrals. Furthermore, when the kinetic energy of the fast neutrals are a couple of electron volts or higher, we can use the energy analyzer to distinguish the fast neutrals from the same gas components or components with identical mass in the residual gas background.
PS-ThP-12 The Application of Helicon Antennas as a Secondary Plasma Source for Ionized PVD
D.B. Hayden, D.N. Ruzic, D.R. Juliano, M.M.C. Allain (University of Illinois, Urbana)
Ionized PVD may extend the usefulness of PVD for several generations by allowing the directional fill of higher aspect-ratio features. Previous work typically has used an inductively coupled plasma (ICP) coil of various designs to drive a high-density plasma in between the sputter target and substrate. A different approach using a helicon antenna to drive the high-density plasma instead is discussed. Helicon-produced plasmas are renowned for their high coupling efficiency given modest input powers. This remotely located source (external to the sputter chamber) has distinct advantages over an immersed ICP coil by eliminating shadowing and flaking problems. Helicon plasmas also have higher maximum achievable densities, thus yielding a higher ionization fraction than ICP coil-driven plasmas. Data for one external source (with various antennas including the helical and Nagoya type III) are shown. The surrounding electromagnets needed for igniting and sustaining the helicon plasma are variable up to 1.5 kG. Pressures investigated are 5-50 mTorr. The effects of multiple antennas surrounding the chamber, which would improve uniformity and density considerably, are discussed.
PS-ThP-13 CF2, CF Radical Behaviors in a Magnetized Inductively Coupled Plasma and the Correlation with Oxide Etch Characteristics
J.H. Kim, H.J. Lee, K.W. Whang (Seoul National University, South Korea); J.H. Joo (Kunsan National University, South Korea)
Fluorine-based plasma has been used to etch SiO2 layer in LSI circuit fabrications, and the low-pressure high-density plasma sources are being studied for ultra-fine structure fabrication. It has been known that the high density plasma has low etch selectivity over Si, but recent studies show that the highly selective SiO2 etching is possible in the restricted area. However, the etching mechanism was not revealed clearly until now. A key parameter governing the selectivity is believed to be the ratio of (CFx) density to fluorine atom density and of radical density to ion density. Here, we report the CF2 and CF radical behaviors based on appearance mass spectrometry(AMS), actinometry and laser induced fluorescence(LIF), along with the correlation between other species and the etch characteristics in the magnetized inductively coupled plasma which was reported for the highly selective SiO2 etching. A 13.56MHz RF power up to 2.5kW was coupled to the 4 turn antenna and generated a CF4 or C4F8 plasma at the operating pressure of 1-10mTorr. The CF2 radical increased with the operating pressure and decreased with the main RF power, which were well coincident with the global model simulation. But the rates of increase were somewhat different according to the diagnostics tools. The increase rate measured with AMS was about 1.5 times greater than those measured with LIF or actinometry. This was caused by the plasma perturbation in using the AMS technique, the quartz contamination while LIF or actinometry and so on. We will discuss the CF2 and CF radical behaviors with the various plasma process parameters and their correlation between other species and etch characteristics. Especially, we will present the effect of bias power on the plasma chemistry near the substrate.
PS-ThP-14 Determination of Gas Phase Species Concentrations in High Density Plasmas for Dielectric Deposition
M.L. Jezl, R.C. Woods (University of Wisconsin, Madison)
Simultaneous application of various gas-phase spectroscopic techniques are used in order to determine the species present in a 2.45 GHz electron cyclotron resonance (ECR) reactor. The plasmas investigated are primarily silicon dioxide and fluorinated silicon dioxide deposition plasmas (SiH4/SiF4/TEOS + O2). For these plasmas we are able to determine absolute concentrations of many of the important species present, while relative concentrations can be determined for many more. Among the techniques utilized are microwave spectroscopy (which can detect species densities as low as 109 cm-3), Fourier transform infrared (FTIR) spectroscopy, and silicon atomic absorption spectroscopy. Microwave and FTIR spectroscopy have demonstrated their ability to detect highly complimentary subsets of detectable molecular species. This has greatly expanded the range of species that can be monitored concurrently. In addition to determining absolute and relative concentrations of gas-phase species, we attempt to correlate the observed trends of their concentrations with the resulting film properties such as thickness, refractive index, infrared absorption, and wet etch rate. Molecules monitored include CO, CO2, H2O, HF, SiH4, SiF4, SiF2, TEOS, H2CO, C2H5OH, and other TEOS decomposition products.


1This work was supported by the NSF under Grant no. EEC-8721545.

PS-ThP-15 Characterization of the Reactive Species in a Helium/Oxygen Atmospheric-Pressure Plasma Jet
J.Y. Jeong, S.E. Babayan, A. Schuetze (University of California, Los Angeles); J. Park, I. Henins (Los Alamos National Laboratory); R.F. Hicks (University of California, Los Angeles); G.S. Selwyn (Los Alamos National Laboratory)
An atmospheric-pressure plasma jet has been developed. The jet etches organic polymer films with an He/O2 gas feed. In addition, silicon, silicon dioxide, and metal films have been etched with an He/CF4/O2 gas mixture. The reactive species in the plasma jet effluent and their chemistry have been investigated by optical and infrared emission spectroscopy, chemical titration, and numerical modeling. An ozone density of 1014-1016 was measured by UV absorption and the oxygen atom concentration is believed to be of same order of magnitude. The charged particle flux, monitored with a Langmuir probe, has been found to be around 1014 cm-2 s-1 in the effluent. With regard to kapton etching, it appears that oxygen atoms and possibly metastable oxygen molecules are the key reactive intermediates in this process. At the meeting, the chemistry of the plasma jet will be compared to conventional low-pressure plasma discharges.
Time Period ThP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1998 Schedule