AVS1997 Session PS-WeA: Oxide Etching: Aspect Ratio Dependent Etching and Selectivity

Wednesday, October 22, 1997 2:00 PM in Room A5/6

Wednesday Afternoon

Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
2:00 PM PS-WeA-1 High Density Plasma Oxide Etching: Expectations Versus Reality
J.M. Cook, V. Vahedi (Lam Research Corporation); G.S. Oehrlein (State University of New York, Albany)
Dielectric (generally SiO2 at present) etching accounts for about forty-five percent of the etch steps in a semiconductor fabrication process, and this percentage will increase with the number of levels and evolving device integration technologies. In contrast to other etch processes, highly selective etching of SiO2 using fluorocarbon gases results in deposition and etching occuring simultaneously. Etching proceeds through steady-state fluorocarbon films that are present on the etching surfaces. If the deposition and etch components are not well-balanced, the process fails owing to insufficient underlayer selectivity or incomplete etching owing to excessive fluorocarbon film formation. Successful implementation (i.e., maintaining this balance) seems straightforward as depicted in textbooks and reviews, but the reality in a production environment is far different since this balance is also a strong function of the properties of the microstructures that are being produced. This talk will discuss SiO2 etching from the perspective of a process that might be used in a production environment (i.e., "manufacturable"). The focus will be on how our understanding of the etch and selectivity mechanisms guides our development of tools and processes. In addition, there will be a discussion of how the feature sizes and structures of ULSI devices magnify process subtleties. These subtleties have been generally unimportant, but may well limit manufacturability in the future. Finally, some generalizations will be made about realizing the attributes of a manufacturable process.
2:40 PM PS-WeA-3 Photoresist Erosion Characteristics in Low Pressure, High Density Plasmas used for Selective Etching of Silicon Dioxide
W. Mlynko, K. Peterson, M.J. Lercel, M. Bushey (IBM)
Selective etching of silicon dioxide often relies on heavily polymerizing etch gas chemistries to achieve the necessary selectivity to underlying materials such as silicon nitride or silicon. Polymer forming etches based on fluorocarbon gases such as CF4, C2F6, and C4F8 can interact with photoresists to produce localized, submicron erosion patterns, as compared with non polymer forming chemistries such as O2 or Cl2. We have observed erosion characteristics of commercially available photoresists under various low pressure, high plasma density conditions in which the gas chemistry has a tendency to form fluoropolymer on surfaces not exposed to ion bombardment. Independent control of radio frequency DC bias and source power has helped elucidate the effects ion bombardment and specie dissociation at various gas compositions and temperatures. Optical emission spectroscopy will be used to correlate the plasma composition changes with resist etch rates and polymerization morphology.
3:00 PM PS-WeA-4 Oxide Etch Rate and Photoresist Selectivity in a High Density, Inductively Coupled Plasma Reactor
M.J. Sowa, M. Littau, J.L. Cecchi (University of New Mexico)
Achieving sufficient resist selectivity during the etching of contacts and vias has proven problematic. As the selectivity is increased, the process often becomes irreproducible, sometimes exhibiting "etch stop." In this work, we have performed experiments in an inductively couple plasma (ICP) reactor to examine how oxide etch rate and resist selectivity vary with tool parameters and plasma chemistry. The experiments were performed in a pressure range of 5 - 10 mTorr with a C2HF5/CHF3/Ar gas feed. The rf wafer bias was varied from 100 to 300 V. Patterned oxide wafers were etched at both 12 and 27 cm from the plasma source. Atomic species concentrations, [F], [H], and [Ar], were measured with optical emission spectroscopy, and the ion saturation current to the wafer was measured with a Langmuir Probe. The oxide and resist etch rates were measured with a Nanospec AFT. For the wafers etched at 27 cm, wavelength-modulated diode laser spectroscopy was used to measure fluorocarbon radical concentrations, [CF] and [CF2]. Owing to the diagnostic port arrangement, it was not possible to utilize the diode laser for the 12 cm position. At 27 cm, oxide etch rates varied from etch stop to 360 nm/min while selectivity varied from 1.0 to 2.2. At 12cm, oxide etch rates varied from 300 nm/min to 970 nm/min with selectivities of 1.2 to 2.8. Heuristic models were applied to the 27 cm etch data to determine important factors in the oxide etch and selectivity mechanisms. Oxide etch rate is seen to be enhanced by the ion current and energy and reduced by the CF2 density. Resist selectivity is enhanced by the CF2 and ion current and reduced by the ion energy.
3:20 PM PS-WeA-5 Aspect Ratio Independent Etching of Dielectrics
G.S. Hwang, K.P. Giapis (California Institute of Technology)
We present a comprehensive theory of pattern-dependent oxide etching in low- and high-density plasmas, which includes sheath theory, microstructure charging, in-trench ion and electron dynamics, surface discharge currents, reactions (etching and inhibitor deposition) and scattering. Monte Carlo techniques are used to implement the theory and to simulate the profile evolution. Under conditions of ion-limited etching and no inhibitor deposition, the etch depth depends on the maximum incident ion energy, and is the same irrespective of the trench width (≤0.5 µm), that is, aspect-ratio-independent etching is predicted, in agreement with recent results by Doemling et al. 1 Etching is found to stop when the trench bottom potential builds up to a value high enough to decrease the translational energy of ions to below threshold for oxide etching (plasma chemistry dependent). When inhibitor deposition is significant, inverse aspect-ratio-dependent etching occurs as a result of inhibitor flux shadowing in the higher aspect ratio trenches/holes. The calculated in-trench charging potentials explain the reasons for various profile peculiarities, such as microtrenching, sidewall bowing, and triangular trench/hole bottoms. The results are consistent with a variety of experimental observations; three-dimensional shadowing effects explain the differences between etch rates in trenches and holes. The theory suggests plausible reasons for the narrow process windows available in current oxide etchers and offers new ways to increase the etch depth possible in high aspect ratio contact hole etching.


1M. F. Doemling, N. R. Rueger, and G. S. Oehrlein, Appl. Phys. Lett., Vol. 68, 10 (1996).

3:40 PM PS-WeA-6 Chemical Topography of Selfaligned Contact Structures Etched in a Magnetically Enhanced Reactive Ion Etching System using C4F8/CO Gas Mixtures.
F.H. Bell (Siemens AG, Germany); T. Lill (Applied Materials, Germany); A. Cuthbertson (Siemens Microelectronics Ltd., England)
The chemical topography of self-aligned contact hole features was determined by spatially resolved analysis of the surface chemistry using x-ray photoelectron spectroscopy. Silicon nitride as an etch stop layer has been deposited on gate lines. Chemical vapor deposition (CVD) BPSG was deposited on the nitride layer. These structures were then patterned with the mask used for patterning the gates. The sample was etched using a C4F8/CO gas mixture. As a result, regular arrays of gate lines have been fabricated and then used for angle-resolved x-ray photoelectron spectroscopy, geometrical shadowing of photoelectrons, and electrostatic charging of insulating portions of the features. After etching, the Si3N4 surface is found to be covered by a fluorocarbon film. The thicker the polymer film formed on the Si3N4the lower is the Si3N4 etch rate. A fluorocarbon film is also present on the photoresist surfaces. No fluorocarbon film and only little fluorine is present on the oxide surfaces. The results indicate that etch selectivity of the oxide relative to the Si3N4 is obtained by similar etch mechanism as versus silicon.
4:00 PM PS-WeA-7 Selectivity Change in Self Aligned Contact Ethcing
C.J. Kang, I.G. Kim, W.S. Lee, C. Jung, M.S. Han, M.Y. Lee (SAMSUNG Electronics, Korea)
The Self Aligned Contact(SAC) etching is being employed to quarter micron size devices in order to enlarge the margin of process integration, especially overlay margin in photolithography. The highly selective oxide etching techniques with the high etch rate have been developed by using the high density plasma sources of various fluorocarbon gases. It is the most important to obtain the high selectivities of oxide to nitride on the SAC etching. We investigated selectivities for different patterns and materials and found that the selectivities varied greatly for the various structures of the wafers. And also knew that process margin were changed by the additive gases. The etching system used in this study was inductively coupled by an antenna coil around the chamber wall connected with a 2MHz RF power supply. Wafers were biased with a capacitively coupled 1.8MHz RF power supply. The mixtures of C4F8/Ar/CH3F gases were used as the etching gases. The two type of the wafers were used for experiments. One is a flat nitride with photor- esist layers. The other has the nitride which cover the gate line and the oxide layer was deposited on it. The nitride losses were measured by a ellipsometry and a SEM, for flat and patterned wafers respectively, after the etching process. The etch rate and selectivity of the oxide to nitride was changed as a function of etching time from 20 to 60 seconds. Flat nitride and flat oxide wafers were used to measured the selectivity. The selectivity increased from 4 to 6.5 as etching time increased. That is, the etch rate of nitride at etching time of 20 seconds was higher than that of 40 as well as 60 seconds, on the other hand oxide etch rates was similar regardless of etching time. The selectivities of the flat and patterned nitride wafers as a function of source power and bias power was investigated. In case of source power in the range of 900W to 1800W, the selectivities decreased from 6 to 2 as source power increased for flat wafers. And for patterned wafers, decreased from >100(etch stop) to 4. The etch stop was observed at lower source power regime (<1000W) in the patterned wafer. Regarding to bias power, the power increased whereas the selectivity in patterned nitride increased. It is a interesting results that the change of selectivity in patterned wafer showed the opposite trend, that in flat wafer. We wish to explore the mechanism responsible for the change in selecti- vities of oxide to nitride, depending on the patterned structures and inter- layer materials.
4:20 PM PS-WeA-8 Contact Etch Scaling with Contact Dimension
S.C. McNevin, M. Cerullo (Bell Laboratories, Lucent Technologies)
It is known that the contact etch rate decreases with decreasing diameter. Etch depth measurements for deep sub-micron contacts will be shown to depend linearly on (1/contact diameter). This experimental dependence will then be compared to that predicted for various theoretical models of the contact etching. One way in which these models differ is the species which is assumed to be rate limiting, ions or neutrals. Another difference is the interaction of neutrals with the contact sidewalls. In some models the walls are infinitely reflective, while in others they are infinitely reactive. The models also differ in the effect of the contact sidewalls on the ion trajectory. All of the models predict a decreasing etch depth as a function of contact diameter. However, some of the models more accurately predict the observed (1/contact diameter) dependence of the measured etch depth. It will be suggested that elements of the various theoretical models be combined. In particular, contact etching probably depends on both the ions and neutrals. Accurate models would therefore take into account the different aspect ratio dependences of these charged and uncharged species. It is also urged that modeling should address not only the etch depth at a given etch time, but also as a function of the etch time. The models should also include calculations for the side wall angle and the curvature of the etching front in the oxide.
4:40 PM PS-WeA-9 Mechanistic Studies of Highly Selective Etching of Silicon Dioxide Over Silicon Nitride in Inductively Coupled Fluorocarbon Plasmas
M. Schaepkens, T.E.F.M. Standaert, G.S. Oehrlein (State University of New York, Albany); J.M. Cook (Lam Research Corporation)
Selective oxide over nitride etching in an inductively coupled plasma (ICP) source fed with various feedgases, such as CHF3, C3F6, and C3F6/H2, has been studied. The results are compared to selective oxide over silicon etching. It is found that a fluorocarbon film is present on the surfaces of all these materials during steady state etching conditions and that the thicker the fluorocarbon film is the lower the resulting etch rate of the substrate material. Silicon is covered with a relatively thick fluorocarbon film (≥2.5 nm), oxide is covered with a thin fluorocarbon film (≤1nm), and nitride is intermediate between oxide and silicon. This intermediate character of nitride implies that a process that etches oxide selectively over silicon does not necessarily selectively etch oxide over nitride. For conditions where selective oxide over nitride etching occurs, it is suggested that the nitride etch mechanism is similar to the etch mechanism of silicon, i.e. fluorine diffusion through a relatively thick fluorocarbon film. For non-selective etching conditions nitride etches in a similar manner as oxide, i.e., direct reactive ion etching. The temperature of the reactor wall has been found to play an important role in selective oxide etch process. The loss of fluorocarbon species from the plasma to the walls is reduced as the wall temperature increases. The fluorocarbon deposition on a cooled substrate increases concomitantly, resulting in thicker steady-state fluorocarbon films. Adequate temperature control can therefore increase process stability and oxide etch selectivity over nitride and silicon.
5:00 PM PS-WeA-10 Flow Rate Rule for High Aspect Ratio SiO2 Hole Etching
Y. Chinzei, M. Ogata, J. Takekawa (Toyo University, Japan); N. Hirashita (Oki Electric Industry Co., Ltd., Japan); T. Hayashi (ULVAC Japan, Ltd.); H. Shindo (Tokai University, Japan); T. Ichiki, Y. Horiike (Toyo University, Japan)
A single turn antenna with 60 mm width applied to the planar type NLD(neutral loop discharge) allowed us to generate a C4F8/90%Ar plasma with higher electron density and lower electron temperature in the wide pressure range of 1 to 100 mTorr. Residence time dependencies of radical and ion densities, and etched features were measured for various pressures. When conditions to perform a high aspect ratio hole feature were plotted in a residence time(τ) - pressure(P) diagram, we found that these plots followed a straight line which passed a origin. From a relationship of τ=(V/Q)P, where Q and V are flow rate and volume of the reactor, respectively, a gradient of the straight line becomes V/Q. Accordingly, the present condition of V=2500L and Q=300sccm (C4F8=30sccm), in which the CF3 radical and CF1+and Ar+ ions are dominated, was turned out to achieve the high aspect ratio hole etching in our method. For higher Q, namely shorter τ, the polymer deposition caused by suppressed recombination of CFx radicals led to "etch stop". For lower Q, namely longer τ, especially Ar+ ion density increased , thereby retarding the resist due to the sputtering. Consequently, the high aspect ratio SiO2 etching employing the C4F8/90%Ar plasma was achieved by both contribution of CF1+ and Ar+ ions in the presence of CF3 radicals and this generation condition was determined unequivocally by the adequate flow rate. The in-situ XPS measurement revealed that the etched SiO2 reaction was formed by distribution with order of CFx, C-C, F and SiOF toward the SiO2 substrate. The TDS measurement demonstrated desorption of CF2 and Ar atoms, furthermore oxygen atoms maybe existed in the interstitial sites.
Time Period WeA Sessions | Abstract Timeline | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule