AVS1997 Session PS-TuP: Plasma Etching, Deposition, and Surface Interactions

Tuesday, October 21, 1997 5:30 PM in Room Exhibit Hall 1

Tuesday Afternoon

Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

PS-TuP-1 Investigation of the Change in Surface Energy of Industrial Polymers After Exposure to a One Atmosphere Uniform Glow Discharge Plasma (OAUGDP)
A.K. Carr, J.Reece Roth (University of Tennessee)
We have developed a method of generating a One Atmosphere Uniform Glow Discharge Plasma (OAUGDP) which allows plasma surface treatment without a requirement for batch processing. Up to three liters of plasma have been generated in air or other gases, and applied to the treatment of PP, PET, LDPE, LLDPE, Polymide, and other polymeric surfaces of interest in microelectronics applications[1]. A OAUGDP using air as a working gas provides a variety of active species which are capable of increasing the surface energy of most surfaces to values of 70 dynes/cm. The surface energy was measured as a function of duration of exposure and time after exposure with a standard (ASTM D2578-67) series of mixtures of formamide and ethyl cellosolve. The surface morphology prior to treatment and at fixed times after treatment was observed by SEM. Each material had a characteristic appearance and functional dependence of its surface energy decay curve after exposure. The surface energy of some polymeric materials dropped after a few days to 50 to 60 dynes/cm and stayed there; others had a power law dependence of surface energy with time; and still others exhibited other dependences before returning to pre-exposure values of 30-40 dynes/cm after several days or weeks. It was also found that longer exposures to the OAUGDP resulted in higher surface energies for longer times after exposure than did shorter exposures of the sample.[2] Acknowledgement: This work was supported in part by March Instruments, Inc. [1]This paper summarizes a Master's Thesis submitted by the first author to the University of Tennessee. [2]Copies are available on request by e-mailing acarr@utk.edu.
PS-TuP-2 Plasma-Assisted Recoil Implantation for Shallow Boron Doping
H.L. Liu, S.S. Gearhart, J.H. Booske, W. Wang (University of Wisconsin, Madison)
An ion beam mixing technique is used to fabricate ultra-shallow p+/n junctions for the application of sub-micron CMOS source/drain formation. In this method, a 0.7nm thick boron layer is first sputtered onto the Si wafer. Then -3kV argon Plasma Source Ion Implantation (PSII) drives the boron atoms into the Si substrate by means of ion beam mixing. This process avoids the hazardous toxic gases, undesirable F co-implantation and F etching effects. Sub-100nm deep p+/n junctions have been formed with this method. Numerical simulations were performed to predict the recoiled boron profiles, which are in agreement with the experimental data. To avoid the air exposure and possible contamination during the transportation step between the B deposition step and the PSII process, a sputtering gun has been incorporated into the PSII chamber. This in-situ B deposition method has significantly reduced the sheet resistance. Numerical Simulations show that the B films with thickness ranging from 5nm to 10nm result in very similar recoiled B profiles. The thickness of 7.5nm is chosen for the deposited B layer to make the entire process more reproducible. Moreover, a part of the implantation damage will be contained in this thicker B film, and this B layer will be removed prior to the annealing step. This should help to alleviate the transient enhanced B diffusion. The research for the recoil implantation of 7.5nm thick B layer is currently underway. This work is supported by the NSF under grant number EEC-8721545.
PS-TuP-3 Passivation Role of Fluorine on the Anti-Corrosion of AlCu Films After Plasma Etching
C.I. Kim (An Yang University, Korea); K.H. Kwon (Han Seo University, Korea); T.H. Kim (Yeo Joo Technical College, Korea); E.G. Chang (Chung Ang University, Korea); S.J. Yun, Y.S. Yun, S.G. Kim, K.H. Baek, K.S. Nam (Electronics and Telecommunication Research Institute, Korea)
The corrosion phenomenon of AlCu alloy films etched using SiCl4/Cl2/He/CHF3 plasma has been studied. Scanning electron microscopic images showed that the corrosion of etched AlCu alloy progressed mainly at the grain boundary of AlCu alloy. To eliminate the corrosion, the subsequent treatment of SF6 plasma has been carried out. The F content on AlCu alloy surface caused by the SF6 treatment increased with increasing operating pressure. X-ray photoelectron spectroscopy studies showed that Cl incorporated during AlCu alloy etching was not totally removed by the post-treatment using SF6. However, the corrosion could be eliminated by the SF6 treatment at 300 mTorr. This result indicates that SF6 treatment can reduce the corrosion of AlCu alloy by producing an F-containing layer.
PS-TuP-4 Plasma Characteristics in a Surface-Neutralization-Based Neutral Beam.
M.J. Goeckner, T.K. Bennett, S.A. Cohen, J. Park (Princeton University)
Neutral beams have been proposed as a charge-free alternative to plasmas in select processing steps. Existing prototype neutral-beam sources include three generic types: gas-dynamic, charge-exchange and surface-neutralization sources. We find that in surface-neutralization type sources, which have the highest flux, residual current can still flow from the source chamber to the substrate in the target chamber.1 Here we examine plasma characteristics in both the source chamber and the target chamber. These measurements were made over a wide array of parameters including: position, pressure, cathode bias, microwave power and magnetic field strength. Typical parameters in the source are: Te hot ‰ 15 eV, Te bulk ‰ 5 eV, ni ‰ 5x1010 cm-3, Vp ‰ 20 V, while Vf drops steeply near the cathode. Additionally a ~35 eV electron beam has been observed near the cathode. In comparison, Te ‰ 7 eV,and ni ‰ 5x106 cm-3 have been observed in the the target chamber. However, the ion flux from the residual plasma in the target chamber, ~1012 cm-2s-1, is several orders of magnitude smaller than the hyperthermal-neutral flux,2 ~1015 cm-2s-1. Detailed analysis of the Langmuir probe data will be presented and discussed. This work was supported by DOE contract DE-AC02-76-CHO-3073 through the Laboratory Program Development Activity at PPPL.


1M.J. Goeckner, et al., Proceedings of the 2nd International Symposium on Plasma Process-Induced Damage, (AVS-NCC, Sunnyvale, CA, 1997).
2M.J. Goeckner, et al., Appl. Phys. Lett., (Submitted).

PS-TuP-5 Energy and Angular Distributions of Argon Ions Reflected from SiO2 Surfaces Via Molecular Dynamics Simulations
C.F. Abrams, D.B. Graves (University of California, Berkeley)
Quantitative understanding of the scattering of energetic ions from SiO2 surfaces has been limited to simple models and assumptions that neglect potentially important many-body physics. In the present study, we describe the distributions of reflected ion energies Er and angles (polar θr and azimuthal φr) obtained from molecular dynamics simulations of Ar impacts onto amorphous SiO2 surfaces with incident energies Ei of 100 and 200 eV and incident angles θi (measured from the surface normal) from 0 to 85 degrees. We capture the physics of the surface atom interactions using an empirical potential energy function developed by Garofalini and Feuston1. We consider the energy and angular distributions from randomly oriented impacts on (a) surfaces allowed to evolve impact-by-impact, and (b) surfaces for which the initial configuration of surface atoms is the same impact-by-impact. Feature scale simulations of oxide processing with energetic ions can more accurately treat ion reflection using these distributions. We noted a broad distribution in reflected energies for the glancing incident angles (θi ≥ 75 degrees); scattered ions retained < 10% up to > 90% of Ei. Those reflected ions with the highest energies tended to scatter with super-specular polar angles (θr > θi) in the forward direction (φr near 0 degrees). We compared these distributions to those predicted by simple binary collision scattering models.


1B. P. Feuston and S. H. Garofalini, "Empirical three-body potential for vitreous silica", Journal of Chemical Physics, v89, n9, pp 5818-5824, 1988.

PS-TuP-6 Ion Energy Distribution Functions in Inductively Coupled Discharges Containing Mixtures of Cl2/BCl3/Ar/N2
C.A. Nichols, J.R. Woodworth (Sandia National Laboratories); T.W. Hamilton (Applied Physics International, Inc.)
We are using a gridded energy analyzer to measure positive ion energy distributions and fluxes at the grounded electrode of a high-density rf discharge. In this talk, we present details of ion energies and fluxes in discharges containing mixtures of Cl2, BCl3, Ar and N2. These mixtures have been used in the etching of metal interconnects for semiconductors. Our experiments were carried out in a Gaseous Electronics Conference Reference Cell which had been modified to produce inductively coupled discharges. The 15-cm diameter bottom electrode was grounded for these experiments. Stainless steel, Al and Si were used as bottom electrode material to understand material variability. Accounting for screen transmissions and pinhole area allowed determination of total ion flux. In most cases, the ion energy distributions have a single peak, well separated from zero energy with a 1.0 to 1.5 eV FWHM. These peaks are typically centered at 12 to 16 eV, with ion energy increasing as pressure decreases. Addition of BCl3 to a Cl2 discharge results in a reduction of total ion flux of more than a factor of two, e.g., from 5 mA/cm2 to 2.5 mA/cm2 (20 mT, 200 W). Ion fluxes decrease with increasing pressures in BCl3/Cl2 mixtures, while increasing with pressure in pure Cl2 discharges. Addition of N2 or Ar to certain mixtures of BCl3/Cl2 show only small (<15%) changes in ion flux or mean energy. At high pressures or low rf powers, the distributions can split into two overlapping peaks separated by as much as 8 eV. This splitting is indicative of capacitive coupling between the rf coil and the discharge. Insertion of a Faraday shield between the coil and the discharge removes most of the splitting. -- This work was supported by the United States Department of Energy under Contract DE AC04-94AL85000 and by SEMATECH. Sandia is a multiprogram laboratory operated by the Sandia Corporation, a Lockheed Martin Company, for the United States Government.
PS-TuP-7 Plasma Source Ion Nitriding using an Inductively Coupled Plasma Source
W. Luo, S. Xu, S. Lee (Nanyang Technological University, Singapore)
Plasma source ion nitriding is a new method for surface modification of steel with certain advantages over conventional plasma nitriding and plasma-based nitrogen ion implantation. A nitriding device based on a low-frequency (500 kHz), planar coil, inductively coupled plasma (ICP) source has been developed. Nitrogen, hydrogen and argon ions are accelerated by a DC negative bias -100 ~ -1100 V, which is applied to the substrate, implanted, and finally diffused into the steel. N2:H2:Ar inductive discharges for nitriding of austenitic stainless steel at H-mode high density and 2500 W power were described. A dramatic increase in hardness and a good uniformity in the thickness over 20 cm diameter have been observed. The structural changes and phase constituents in the nitrided surface layer have been studied by using the X-ray diffraction (XRD) and transmission electron microscopy (TEM) techniques. The wear and corrosion resistance properties were also investigated for the nitrided layers.
PS-TuP-8 Hydrogen and Disilane on Ion-Roughened Si(100)
B. Gong (University of Texas, Austin); S. Jo (Kyung Won University, South Korea); G. Hess, P. Parkinson, J.G. Ekerdt (University of Texas, Austin)
The adsorption and desorption of H2 and Si2H6 on ion roughened Si(100) have been studied by temperature programmed desorption (TPD). The adsorption probability of Si2H6 on the surface is greatly quenched after inert gas ion roughening, indicating that the Si2H6 adsorption is likely to take place across dangling bonds of a silicon dimer instead of individual dangling bonds. The amount of silyl groups (SiH3) after Si2H6 adsorption is used as a measure of Si2H6 adsorption probability. In addition to reacting with surface dangling bonds, hydrogen can readily diffuse into the bulk and occupy defect sites after ion bombardment with an activation energy of 0.09 ± 0.02 eV. The extent of surface roughness and bulk defects created by ion sputtering can be discriminated by adjusting the ion energy. At low ion energies (< 100 eV), only surface roughness and near-surface bulk defects are present. Both monohydride (ß 1) and dihydride (ß 2) coverages increase after 50 eV ion sputtering compared with H2 desorption from a smooth surface, implying an increased surface area due to ion roughening. However, only dihydride coverage increases with increased sputtering time, probably because the monohydride state filling through the dimer rows is blocked after dimer rows are broken, forcing the formation of the dihydride state.
PS-TuP-9 The Effect of Reaction Layer Composition on the Etch Rate of Silicon when Etching with Fluorine
P.G.M. Sebel, L.J.F. Hermans, H.C.W. Beijerinck (Eindhoven University of Technology, The Netherlands)
Chemically neutral ions (e.g. Ar+) have a huge effect on the etch rate of silicon when etching with fluorine. The reaction probability of flourine is increased by a factor of 8. The main reaction products are SiF4 and SiF2. To explain the influence of ions on the etch rate, three mechanisms have been proposed. Physical sputtering explains the production of SiF2, which is released immediately upon ion impact. The increase in release of SiF4 can be explained by chemical sputtering and by ion enhanced spontaneous etching. Chemical sputtering explains the enhanced release of SiF4 by the creation of weakly bound SiFx species in the reaction layer upon ion impact. These species have a higher reaction probability. The composition of the reaction layer itself will also be changed due to ion bombardment. The formation of SiF4 may be enhanced in this modified reaction layer as compared to a steady state reaction layer while etching spontaneously. Assuming the reconstruction of the reaction layer is a relativily slow proces as compared to the time scale of chemical sputtering, the etch rate and reconstruction of a modified reaction layer is studied using pulses of ions on a time scale of 50 ms. After the decay time of chemical etching the etch rate of the modified reaction layer will be measured. Subsequently the effect of reconstruction of the layer can be measured on longer time scales. Results will be presented as a function of temperature (150 - 900 K) and as a function of ion to neutral flux. These results will be discussed using a model which includes both the effect of a modified reaction layer and the role of chemical sputtering.
PS-TuP-10 In Situ and Ex Situ Optical Characterization of Atomic Oxygen Resistant Polymers Using Deep-UV to Mid-Infrared Ellipsometry.
C.L. Bungay, T.E. Tiwald, J.A. Woollam (University of Nebraska, Lincoln)
A major constituent in low earth orbit (LEO) is atomic oxygen (AO) which is very corrosive to many spacecraft materials. Materials that will be exposed to AO for a long duration, such as those on the proposed Space Station Freedom, will have to be AO resistant or coated with a material that is AO resistant. NASA is therefore interested in developing materials that will withstand the harsh LEO environment. Three organic polymers that are proposed by NASA to be used for long duration exposures are investigated in the present work. These polymers include: 1) CV-144-O silicone, a protective coating; 2) PAE-COR, an AO resistant polymer that would replace LEO use of Kapton polyimide; and 3) PAE-TOR, an AO resistant polymer that would replace LEO use of Teflon fluorocarbon. The LEO environment is simulated in these studies with both an RF oxygen plasma asher and an electron cyclotron resonance (ECR) oxygen plasma source. All three materials form an oxide layer on the surface when exposed to AO. Ellipsometry over a large spectral range from deep UV to mid-infrared is used to monitor conversion of the polymers to oxides and study the effectiveness of these materials. The change in film thickness and optical constants of the polymers is monitored utilizing the large spectral range capabilities. In situ visible ellipsometry is used to determine growth rates of the oxide layers while exposed to an oxygen plasma produced by the ECR source. In addition, the chemical changes occurring due to exposure to oxygen plasma are investigated in the mid-infrared spectral region. Research supported by NASA Lewis Grant NAG3-1802.
PS-TuP-11 Characterization of Particle Behavior in a Dusty Argon RF Plasma
M. Schabel, T. Peterson, J. Sinclair, D. Lynch (University of Arizona)
Control of microcontamination in plasmas is a serious issue for the microelectronics industry. Particles can nucleate in a plasma during the reaction, trap electrostatically in localized regions of the chamber, and contaminate the substrate after the plasma is extinguished. Understanding how electrostatically trapped particles behave in a plasma as a function of the reactor operating conditions can lead to significant reductions in microcontamination. In this contribution, we characterize the particle trap size, two components of particle velocity, and local particle concentrations in a dusty plasma using Laser Light Scatter techniques, Laser Doppler Velocimetry, and Optical Emission Spectroscopy. We compare our experimental results with force balance models (i.e. Brownian motion, motion due to coulombic interactions, and fluid effects) to explain why trapped particle motion ensues. Transient behavior of the particles is correlated to the transient behavior of the plasma and is used to explain plasma-particle interactions. Based on our experimental and modeling results we quantify particle-particle interactions as a function of operating conditions and propose a mechanism for particle agglomeration. Using this mechanism, we suggest reactor conditions for which primary nuclei, chain agglomerates, or spherical agglomerates will likely form. We compare this mechanism with our experimental findings and those reported in literature.
PS-TuP-12 Effects of Ion Bombardment on Developed Photoresist during RIE Processes for sub 0.25 micron Devices
M.D. Naeem (IBM Microelectronics Division); F. Wang (Siemens Components Division); R. Wise, G.K. Worth, D.M. Dobuzinsky, M.D. Armacost (IBM Microelectronics Division); G.L. Zhijian (Siemens Components Division); H. Abdul-Ridha (Applied Materials)
The use of advanced photoresist systems has become necessary for lithography in processing of advanced (sub 0.25 micron) devices to achieve desired device dimensions with acceptable image quality. These resist systems are very sensitive to post expose treatments and low energy ion bombardment in reactive ion etch (RIE) processes. The exposure to plasma during RIE can lead to scalloping of these resists and the etched images are serrated due to resist cracking. The effects of low ion energy bombardment during RIE processes and the ultimate quality of the final etched vias in dielectric films are reported in this paper. The effects of various RIE parameters in capacitatively coupled plasma (CCP) systems and inductively coupled plasmas (ICP) on resist morphology are presented. The influence of post resist development treatments on resist stability during RIE process using traditional chemistries i.e. CHF3 + CF4 was investigated. The process development and the modification of RIE parameters to achieve acceptable results are discussed in detail. We also report the results from C4F8 + CO based RIE processes.
PS-TuP-13 The Chemistry and Morphology of Hexamethyldisiloxane - Plasma Treated Southern Yellow Pine Wood Surfaces
A.R. Denes, R. Rowell, F. Denes (University of Wisconsin, Madison); M. Tshabalala (Forest Products Laboratory)
Cold plasma technique has been proven to be a valuable tool for tailoring the surface characteristics of materials. Plasma modification is confined only to the top layer of the substrates, thus leaving intact bulk properties. It is for this reason that plasma enhanced chemical modification has been selected to tailor wood surface characteristics. Surface hydrophobization, using various cold plasma conditions, was employed to tailor the chemistry of wood surfaces. A crosslinked macromolecular structure was deposited onto southern yellow pine wood substrates using hexamethyldisiloxane cold plasma conditions. The surface chemistry of the substrates was investigated using X-Ray Photoelectron Spectroscopy (XPS) in order to determine the relative surface atomic composition and the presence of non-equivalent carbon functionalities. Attenuated Total Reflectance Fourier Transform Infrared Spectroscopy (ATR-FTIR) was employed to identify the nature of chemical bonds present in the virgin and plasma modified substrates. Wetting characteristics were evaluated using water contact angle analysis, and the surface topography was monitored using Atomic Force Microscopy (AFM). XPS and ATR-FTIR data indicate the presence of a macromolecular structure based on Si-O-Si and Si-O-C linkages on the surface of the plasma modified samples. Water contact angle estimations performed on unmodified samples showed an advanced wetting (water contact angle less than 5 degrees). Water contact angle values of the hexamathyldisiloxane-plasma modified samples were in the range of 130 - 140 degrees, leading to the conclusion that a hydrophobic surface was created as a result of the plasma surface modification. Atomic Force Microscopy studies indicate smoother surfaces for the hexamethyldisiloxane plasma treated samples, in comparison to the unmodified material.
PS-TuP-14 Surface Modification of Polystyrene by Low Energy Polyatomic Ions
E.T. Ada, O. Kornienko, L. Hanley (University of Illinois, Chicago)
Plasma processing of polymeric materials for surface modification is of great technological interest. However, the complexity of the plasma environment complicates fundamental studies of the plasma-surface interaction. An alternative approach is to study the collision of mass and energy selected ion beams with well characterized surfaces. We report here the surface chemical modification of spin-coated polystyrene films induced by low energy polyatomic ions such as C2F4+, SF5+, and H2O+. The complementary techniques of XPS and laser desorption/photoionization ion trap mass spectrometry are used to investigate the surface modification as a function of the molecular identity of the ion, the ion fluence(1014-1016 cm-2) and the incident ion kinetic energy (5 - 100 eV). The implications of these results to plasma modification of polymers will be discussed. This work is supported by the National Science Foundation.
PS-TuP-15 Surface Stress of Silicon Induced by Plasma-surface Interactions
M. Kitajima (National Research Institute for Metals, Japan); T. Kurashina, I. Kamioka (National Research Institute for Metals and University of Tsukuba, Japan); K.G. Nakamura (National Research Institute for Metals, Japan)
We present the evolution of surface stress during plasma oxidation of Si(100) up to an oxide thickness of 1.5 nm using an optical micromechanical lever technique. To our knowledge, this is the first time that such techniques are used in the plasma-surface interactions. The experiments were performed in a UHV chamber. The sample was a cantilever of Si(100) of dimensions l =450 µm, t=4µm, and w= 50µm. The bending of the lever due to the stress was detected by a change in the angle of a laser beam reflected from the lever. Stress was calculated from the lever deflection using Stoney's formula, and the un certainty was ± 2 x 10-3 N/m :± 0.5 nm in deflection. The plasma was generated by a RF discharge of oxygen gas at 13.56 MHz. There were observed three stages in the stress vs. time curve for the plasma oxidation. In the first stage (0-200 s), the surface stress increased very rapidly, showing a quick buildup of tensile stress at an initial stage of the plasma oxidation. In the second stage (200-2000 s), it increased slowly, to a maximum value of tensile stress of about 0.08 N/m. In the third stage, the stress decreased, and it became zero at about 4200 s, showing a compensation for the initial tensile stress by a compressive stress. It decreased further and reached a saturation of a compressive stress of about -0.06 N/m after 7000s. The results will be discussed based on a calculaion using a silicon cluster. We will also describe the stress change during etching of the native oxide by an argon plasma.
PS-TuP-16 Using CF4/H2O Down-Stream Plasma to Remove Silicon Surface Contaminants Induced by Selective SiO2 Dry Etching
X.W. Lin, I. Harvey, H. Lee (VLSI Technology, Inc.)
This paper reports the first successful application of CF4/H2O down-stream plasma (DSP) ashing for Si surface cleaning to remove fluorocarbon residues induced by conventional selective SiO2 dry etching. The silicon surface was characterized by x-ray photoelectron spectroscopy (XPS), contact angle (CA) , and ellipsometry. XPS reveals the presence of CFx (x=1, 2, 3) residues with 24 at.% F after selective oxide etch. About 40% of the F atoms remain on the surface even after a sulfuric/peroxide mixture (SPM) clean for 10 min, whereas CF4/H2O DSP ashing removes nearly all F atoms prior to SPM clean. The XPS results correlate directly with CA measurements on wafers cleaned with SPM and HF. It was found that the Si surface without DSP ashing remains hydrophilic (CA = 7°) after long HF etch (> 6 min), while DSP-treated surface becomes hydrophobic (CA = 67°) right after a HF dip for 20 sec. The correlation between CA and ellipsometry measurements was also observed. Effects of gas ratio, plasma power, and ashing time were investigated. Compared with conventional CF4/O2 and NF3 soft etch processes, CF4/H2O DSP proves superior in terms of cleaning efficiency, Si loss, and process simplicity. In order to understand the mechanism of the CF4/H2O ashing, DSP with individual gases H2O, O2, or CF4 was studied, and the plasma was characterized by optical emission spectroscopy. The addition of H2O into CF4 suppresses free F radicals in the plasma. H2O and O2 plasmas are able to reduce F surface content, while CF4 leaves the most fluorocarbon residues. The results can be accounted for, in terms of a dynamic scavenging and etching mechanism occurring at the Si surface.
PS-TuP-17 Resonantly Enhanced Multiphoton Ionization Mass Spectroscopy (REMPI) and Imaging of Radicals Interacting with Surfaces of Silane and Disilane Plasmas
V.A. Venturo, N.M. Mackie, N.E. Salvo, E.R. Fisher (Colorado State University)
Silane plasmas and silane radicals, SiHx, have been studied extensively due to their importance in semiconductor thin film deposition. However, the chemistry of deposition from silane plasmas is still not well understood. We have used both imaging laser induced fluorescence (LIF) and REMPI to study silane radicals, SiHx , in silane and disilane plasmas under deposition conditions. We have used LIF in the Imaging of Radicals Interacting with Surfaces (IRIS) method to determine the reactivity of SiH under a variety of plasma conditions. However, other silane radicals are difficult to observe using LIF, and ideally, one would like to have complete knowledge of all species in the plasma. Towards that goal, we have built a mass spectrometer to observe all species (neutral and charged) in situ, in our IRIS experiment. We have determined the relative populations of some silane radicals for these plasmas using REMPI and we have also observed the nascent positive ion distribution using pulsed extraction mass spectrometry. We will discuss how mass spectrometery and IRIS together can give a more complete understanding of plasma-surface interactions.
PS-TuP-18 Mass Spectrometric Characterization of II-VI Semiconductor Etching in a CH4/H2/Ar High Density Plasma
C.R. Eddy, Jr., D. Leonhardt, V.A. Shamamian, J.E. Butler (Naval Research Laboratory)
We have employed mass spectrometry and sampling through the substrate platen to understand plasma/surface interactions that promote stoichiometric, anisotropic pattern transfer in a high density plasma etch process for ZnSe and HgCdTe. This method of sampling, which permits monitoring of etch product species that are highly correlated to those arising directly from the surface, will be discussed. Etch product peak intensities are monitored as a function of substrate temperature, ion flux, ion energy and neutral flux variations to determine which incident reactive species are critical to product formation and under what conditions ion-driven surface chemistry (the type associated with anisotropic pattern transfer) is dominant. The substrate temperature is monitored by a thermocouple that is embedded in the substrate platen and calibrated to the substrate temperature using band edge absorption measurements. Ion flux is varied by altering the microwave power, ion energy by varying the applied substrate bias, and neutral flux by varying the total pressure and fraction of methane in the input gas mixture. For HgCdTe, monitored product peaks include Hg, TeH2, Te(CH3)2, Cd(CH3)2, and Hg(CH3)2. Although Cd and Te are removed in their hydride or alkyl form throughout the parameter space investigated, Hg removal transitions from simple Hg evolution to Hg(CH3)2 with increasing methane fraction in the input gas mixture. Also in this material, ion-driven surface chemistry dominates for ion energies in the range of 50-100 eV. For ZnSe, monitored product peaks include Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. In this material, ion-driven surface chemistry extends to a much higher ion energy range (up to 300 eV), consistent with the higher bond energy of the material. Mass spectrometric observations will be correlated to damage assessments to ascertain the best processing conditions for stoichiometric, anisotropic and low damage patterning of these II-VI materials.
PS-TuP-19 Rapid Technological Process Combining ICP of InP Etching and VPE Regrowth for OEIC's Application
J.J. Etrillard (France Telecom, Lab de Bagneux, France); F. Alexandre, J. Charil, A. Leroy (France Telecom, Lab de Bagneux); H. Nakajima (France Telecom, CNET/DTD)
We report on a process of fast dry etching and fast epitaxial regrowth using respectivelly Inductively Coupled Plasma (ICP) and Vapor Phase Epitaxy (VPE) for the fabrication of buried laser (BH) structures for OEIC's. The dry etching is made in a commercial Inductivelly Coupled Plasma (ICP) equipment using a chlorinated plasma. The InP etch rate is about 1.5 micron per minute. Vertical and reproducible etching processes are obtained in such equipment after minimization of the chamber contamination and characterization of sidewall effects as functions of the discharge parameters. Ternary and quaternary materials are etched anisotropically at high etch rate with low sidewall and surface induced damages obtained when using low ion energy process and reducing the induced sidewall contamination and damages. Mask selectivity is very high. A 400 nm thick silicon nitride mask is used. A short chemical cleaning has been optimized prior to perform the regrowth which is also made at high deposition rate of about 1 micron per minute. The regrowth of iron doped InP is carried out by hydride Vapor Phase Epitaxy (VPE) because of the high growth selectivity, good planarity and high growth rate. The rate of InP growth laterally to the stripe sidewalls is around 1 micron per minute. The resistivity of regrown InP is close to 108 ohm.cm which is sufficient for BH laser application. This combination of processes may significantly reduce the cost of fabrication of such photonic devices in comparison with conventional low density plasma.
PS-TuP-20 A New Technology in Deep Trench Etch
Y.J. Wang, S. Pan, M. Li, A. Khan, K. Li (Applied Materials, Inc.)
High aspect ratio, sub-0.5 um deep trench etch was evaluated on an inductively coupled high density decoupled plasma source (DPS) reactor. Si etch rate over 2 um/min was demonstrated with excellent uniformity over the wafer. Operation in a low pressure regime eliminates the need for dry clean. High Si etch rate, high selectivity to oxide, and good profile control was demonstrated on a 0.25 um deep trench feature for 256 MB DRAM application. The test wafers were P-(100) Si (200 mm) with 1 um thermal oxide with a 0.5 um contact pattern. The wafers were etched in a DPS reactor. Si etch rate, selectivity to oxide mask, and profile angle were evaluated by SEM. Single variables and design of experiments (DOE) were used to determine the principle input factors and main effects of deep trench etch. For high aspect ratio trench etch, high Si etch rate is needed for high throughput. SF6 dominant gas mixture has been used to achieve high Si etch rate. O2, CHF3, or N2 etc. could be added to provide sidewall passivation and precise profile control. At a certain bias power, high ion density is needed to achieve a high Si etch rate. Decreasing bias power results in decreasing oxide etch rate, thereby increasing the selectivity of Si to oxide. Total pressure and gas ratio are also essential to Si etch. At a certain pressure, the higher the SF6 content in the gas mixture, the higher the Si etch rate. Si etch rate could exceed 2 um/min with anisotropic profile control. Operation in a low pressure regime eliminates the need for dry clean. Based on the process trends, trench etch was conducted on test wafers with 0.25 um critical dimension. A total Si etch rate of 1.0 um/min has been achieved for 0.25 um features up to 8 um deep. The selectivity of Si to oxide was greater than 20. Si etch rate uniformity was less than 3% (max-min) comparing center and edge (3 mm edge exclusion). Oxide etch rate uniformity was less than 6% (3σ) up to 3 mm edge exclusion, measured by contour 49 point prometrix.
PS-TuP-21 Etch Characteristics of GaN using Inductively Coupled Cl2/Ar and Cl2/BCl3 Plasmas
Y.H. Lee, H.S. Kim, G.Y. Yeom (Sung Kyun Kwan University, Korea); J.W. Lee, M.C. Yoo, T.I. Kim (Samsung Advanced Institute of Technology, Korea)
III - nitride semiconductors such as GaN have great potential for the fabrication of opto-electronic devices such as light emitting diodes and laser diode, and electronic devices operating at high temperatures. Recently, dry etching techniques using high density electron cyclotron resonance (ECR) plasmas, inductively coupled plasma, and chemically assisted ion beam have been employed to define device features with controlled profiles, sidewall smoothness, and etch depths. While most of studies on the dry etching are focused on the etch properties related to the etch equipments and etch process parameters such as gas chemistry, source power, bias voltage, etc., detailed studies on the interaction between the dry etching characteristics of the GaN-based materials and the plasma characteristics have been little reported. In this study we investigated the effects of main etch parameters such as gas combination of Cl2/Ar and Cl2/BCl3, substrate temperature from 3 to 100 C, inductive power from 200 to 600Watts, dc self bias voltage from 0 to -200 volts, and operation pressure from 5 to 30 mTorr on the plasma characteristics and etch characteristics of GaN. 2 micrometer-thick GaN epitaxial layer was grown by MOCVD on (0001) sapphire wafers and reactive sputtered 1micrometer - thick SiO2 was used as a mask layer. Gas combinations of Cl2/Ar and Cl2/BCl3 were used to etch GaN. Effects of process parameters on the plasma characteristics such as ion current density, ion energy, and radical density were estimated using a high voltage probe, a Langmuir probe, and optical emission spectroscopy (OES). Surface residue remaining after the etch was investigated using X-ray photoelectron spectroscopy. The increase of substrate temperature, inductive power, and self bias voltage generally increased GaN etch rates at the expense of the etch selectivity over oxide. By optimizing etch process parameters, smooth and vertical etch profiles and etch rates close to 6000Å/min with the selectivity higher than 2 could be obtained. The comparison of the measured plasma characteristics and etch characteristics indicated that GaN etch rate appeared to be more affected by the chemical reaction between Cl radical and GaN compared to the physical sputtering of GaN by the incident energetic ions.
PS-TuP-22 Effects of Argon Addition to a Platinum Dry Etch Process
K.R. Milkove (IBM T.J. Watson Research Center)
The use of Ar as one of the constituent gases for dry etching patterned Pt films is a common practice. However, in a recent study we found that the inclusion of Ar is detrimental to the Pt etch process because it enhances the severity of fence defects in fully etched structures. In the present investigation we expand upon this work by taking a more comprehensive look at how a fence-free Pt dry etch process, utilizing a Cl2/CF4 halogen gas mix, is influenced when Ar is incrementally substituted for Cl2. In addition to the previous result, we find that the increase in Ar concentration relative to Cl2 causes a reduction in the Pt etch rate out in the field region. An even greater percentage drop occurs for the etch rate of the effluent material that redeposits on the sides of the photoresist mask as the etch progresses. This residue also exhibits less solubility in water with increasing Ar concentration. Additional corroborative etch rate measurements were made on blanket Pt films. First, the original etch conditions were repeated. Then, a second set of measurements were obtained, where CF4 was excluded from the gas mix. In both instances the etch rate of Pt decreases inversely with the percentage of Ar employed in the gas mix. These blanket film results duplicate those obtained from patterned samples. Therefore, we conclude that neither redeposition of photoresist from the mask or plasma deposition of CF4 cracking fragments strongly influence the observed etch behavior documented for the patterned samples.
PS-TuP-23 A Study of Platinum Electrode Patterning in a Reactive Ion Etcher
L. Chang, E. Apen, M. Kottke, C. Tracy (Motorola)
Platinum, although widely used in research as the electrode material for high dielectric constant and ferroelectric capacitors for DRAM and FeRAM applications, is difficult to dry etch with the conventional tools found in manufacturing. Since volatile reaction products are not likely to form in reactive ion etching of Pt, one often resorts to ion milling or high power single-wafer approaches in lab-scale reactive ion etchers to pattern a limited number of samples. Thus patterning Pt remains one of the obstacles for successful integration of high K and ferroelectric materials into products. This work addresses the problem through the use of a batch load design production RIE tool. An Applied Materials AME-8120 with a hexode configured cathode accommodating a total of 18 wafers of 150 mm-diameter per run, was used to pattern Pt. A designed experiment was performed to study Pt etch rate, etch uniformity across the wafer, and cleanliness of patterned films using pressure, total gas flow, and % of Cl2 in Ar as variables with the hexode temperature held constant at 22 C. The results showed that the Pt etch process is primarily a sputter etch process in which the Cl2 percentage impacts the Pt etch rate, etch uniformity across the wafer, and the surface cleanliness as analyzed with AES. The maximum Pt etch rate achieved under these conditions was about 50 Å/min with good etch uniformity and surface cleanliness. XPS of the etch byproducts showed the presence of PtCl2 and PtCl4 when the Ar-Cl2 etch chemistry was used. These results will provide useful information to address material re-deposition and etch chamber cleaning safety issues, two major concerns in the RIE of Pt.
PS-TuP-24 The Etching Properties of Pt Thin Films by Inductively Coupled Plasma
C.I. Kim (An Yang University, Korea); K.H. Kwon (Han Seo University, Korea); S.J. Yun (Electronics and Telecommunication Research Institute, Korea); G.Y. Yeom (Sung Kyun Kwan University, Korea)
Generally the high dielectric films, such as PZT(Pb(Zr1-xTix)O3) and BST(Ba1-x√sub xO3) have been formed on the Pt thin films. However it is generally known that the dry etching of Pt is difficult because of its chemical stability. So, the dry etching of Pt remains at the preliminary work. Therefore, in this study, Pt etching mechanism was investigated with Ar/Cl2 gas plasma by using X-ray photoelectron spectroscopy (XPS) and Quadrupole mass spectrometry (QMS). Ion current density was measured with Ar/Cl2 gas plasma by using single Langmuir probe. XPS results showed that the atomic percent of Cl element on the etched Pt sample increased with increasing Ar/(Ar+Cl2). And QMS results showed that the increase of Ar partial pressure in the plasma resulted in the improvement of Cl2 dissociation and Cl radical formation and simultaniously the increase of ion bormbardment effects.
PS-TuP-25 Comparison of Plasma Chemistries for ICP Etching of InGaAlP Alloys
J. Hong, J.W. Lee, C.R. Abernathy, E.S. Lamber, S.J. Pearton (University of Florida, Gainesville); R.J. Shul (Sandia National Laboratories); W.S. Hobson (Bell Laboratories, Lucent Technologies)
Two Plasma discharges, i.e.CH4/H2/Ar, Cl2/Ar were compared for the etching of InGaP, AlInP and AlGaP under Inductively Coupled Plasma (ICP) conditions. While the etching with CH4/H2/Ar discharges appears to be ion-deriven, Cl2/Ar discharges showed a strong chemical enhancement. The highest etch rate ( ~ 1 micon/min) for InGaP is achieved at high ICP source power (≥750W) with the Cl2/Ar chemistry. Cl2/Ar discharges provided very smooth surfaces in all three materials with root mean square roughness measured by atomic force microscopy around 2nm. This result may be due to the efficient ion-neutral synergism in this chemistry. The etched near surface region (~100Å) with Cl2/Ar maintained almost the same stoichiometry as that of the unetched contol. By contrast, the CH4/H2/Ar plasma chemistry produced somewhat rougher surfaces and depletion of phosphorous (P) from the surface of InGaP. AlxGa1-xP can act as an efficient etch stop for the other two materials at high Al content because its etch rate is a strong function of composition due to the increase in the bond strength
PS-TuP-26 Comparison of Electron Cyclotron Resonance Dry Etch Chemistries for SiC
G.Y. McDaniel, J.W. Lee, P.H. Holloway, S.J. Pearton, E.S. Lambers (University of Florida, Gainesville)
Plasma etching using an electron cyclotron resonance (ECR) source has been used to study dry etching of SiC. A universal theoretical etch rate curve has been postulated for etching conditions. This curve indicates the transitions from chemical to sputter etching with synergistic effects for variable ECR conditions. Gas chemistries studied include Cl2/Ar, Cl2/H2, SF6/Ar, IBr/Ar, and Ar. The variables studied include ECR power (400 to 1000 W), RF power (50 to 350 W), chamber pressure (1.5 to 10 mTorr), and active to total gas flow rate ratios (0 to 1). Etch rates in excess of 2000 Å/min. have been achieved with SF6/Ar ECR discharges at low power (400 Watts) and moderate RF power (100-150 Watts). The rates for Cl2/Ar are comparable to SF6/Ar rates with those for Cl2/H2 being somewhat lower. IBr/Ar is found to be a very poor chemistry for SiC with etch rates reaching only 1000 Å/min. Pure Ar sputtering achieved rates of less than 800 Å/min. Smooth surfaces (0.6-0.8 nm RMS roughness) have been achieved in all plasma chemistries with no dependence of roughness on plasma conditions. Auger electron analysis confirmed carbon enrichment of the surface in most cases. High RF biases resulted in stoichiometric termination of the SiC surface. Silicon depletion was noted for low RF biases and high chlorine content plasmas. Contamination by F and S was noted in SF6/Ar discharges. All gas chemistries studied favored the chemically dominant side of the theoretical etch rate curve with the exception of pure argon, which favored the sputter dominant side. Chlorine showed the most synergistic effects and covered the largest range of the theoretical etch rate curve for the experimental conditions studied.
PS-TuP-27 Modeling Flourocarbon Plasma Chemistry with Comparison to Experiment
A. Fiala, H. Singh, J.W. Coburn, D.B. Graves (University of California, Berkeley)
The comparison of model predictions with experimental results is a key to developing and validating plasma chemistry models. Although modeling studies and experimental characterization of inductively coupled, low pressure, high density plasmas have been conducted in CF4 previously, their systematic comparison has not been done yet. We report the comparison of a fluid model predictions with experimental results over a wide range of powers and pressures. The major objective of this study is a better understanding of the dominant chemical mechanisms and plasma-surface processes. The fluid model includes 2-D equations (in a cylindrical geometry) of continuity, momentum transfer and energy for ions and neutrals. The behavior of the electrons is governed by the equations of continuity and energy supposing drift-diffusion approximation. Maxwellian distribution function is assumed to close the system of fluid equations. The Poisson equation determines the electric field due to the space charge. Power deposition into the electrons by the inductive field is obtained by solving Maxwell's equations. Experimental results provide positive ion composition and energy distribution. The neutral concentration in the plasma has been measured by modulated beam mass spectroscopy, and simultaneously, by optical emission spectroscopy and actinometry with argon as a tracer gas. In order to explain experimental results, the simulation suggest that F+/CF4 ion-molecule chemistry significantly influence the plasma positive ion composition. Wall reactions involving both neutrals and ions, including the simultaneous deposition and etching of fluorocarbon films, play a significant role in determining neutral composition in the plasma.
PS-TuP-28 The Effects of Polymer in Si Trench Etching with HBr/Cl2/O2(/N2) Gas Chemistry
H.J. You, Y.S. Lim, C.K. Yeon, S.J. Choi, C.H. Kang, H.S. Kim, Y.C. Kim (LG Semicon Co., Ltd., Korea)
It was the effect of polymer on Si trench etching process in which nitride-masked Si substrates were used with HBr/Cl2/He-O2 gas chemistry in Magnetically Enhanced Reactive ion Etching (MERIE) that was studied in this experiment with variables of etch rate, angle of trench slope, gas chemistry and components of polymers measured with Scanning Electron Microscope (SEM) and X-ray Photoelectron Microscopy (XPS). The polymer was preferentially formed on the sidewall of Si trench and started to cover the top of nitride mask with increasing the amount of them and the thickness of polymer was proportional to gas flow rate of He-O2 and reached 500Å on the sidewall of the trench and 350Å on the top of the nitride mask. The polymer deposited during the process acted as a barrier which prohibited the ion bombardment to cause the trench slope to increase and the loss of mask to reduce and eventually stopped the nitride etching further more. Though the loss of the mask was lineally attributed to the thickness of the polymer, any relations between Si etch rate and the amounts of polymer were not found. XPS analysis revealed that the polymer had the structure of silicon oxide and didn't contain any chlorine and brimine. The addition of nitrogen gas which played almost same role of He-O2 gas in the etching process let the polymer to have also same constitution except having a little bromine peak.
PS-TuP-29 Plasma Chemistry in Acetylene rf Glow Discharges
J.R. Doyle (Macalester College)
The plasma chemistry of a 30 mTorr acetylene rf glow discharge suitable for hard carbon deposition is investigated using mass spectrometry, deposition rate measurements, and kinetic modeling. Di-acetylene and hydrogen are the major product gases found, along with small amounts of tri-acetylene. A comprehensive chemical kinetic model is proposed that accounts for the observed product gases and amorphous carbon film growth rate as a function of discharge power. The radical C2H is inferred to be the principal electron dissociation product of the feed gas, but due to its high gas phase reactivity does not make an appreciable direct contribution to film growth. Rather deposition appears to be dominated by four and six-carbon radicals that result from reactions of C2H and H atoms with acetylene and product gases. The role of ions in the plasma chemistry and film deposition is discussed. Finally, the results are compared to the plasma chemistry in methane discharges under similar conditions.
PS-TuP-30 Effects of Hydrogen Dilution During Deposition of a-SiC: H Thin Films by DC-Plasma Enhanced Chemical Vapor Deposition.
M.H. Lee, F.S. Ohuchi (University of Washington)
Amorphous hydrogenated Si-C alloys (a-SiC:H) are materials with potential applications for color detectors, transparent contacts and window layers for solar cells; all of which make use of the wide and tunable range of optical gap energies that can be obtained in these materials. The optical gap energies range from 1.7 to 2.8 eV depending on the amount of H incorporated into the structure. In this talk, the influence of hydrogen dilution of the feed gas on the growth of a-SiC:H thin films deposited from dimethylsilane (DMS) and trimethylsilane (TrMS) by DC plasma-enhanced chemical vapor deposition (DC-PCVD) is presented. Experimentally, hydrogen was fed with DMS or TrMS, varying the ratio from 0.2 and 1.0. The bias voltage applied to the electrode was in the range of 500 to 2000 V, and the pressure was 50 to 200 mtorr. Optical emission spectroscopy (OES) was used to characterize the plasma conditions, monitoring the characteristic emissions for CH, C2, SiH, H2 and H species. Auger electron spectroscopy (AES) was used in-situ to characterize the chemical composition. With an increasing amount of hydrogen in the plasma, energetic hydrogen ions appear to volatilize CH4 species through reactions between H+ and CH3 bonded to Si, causing an increase in the Si/C ratios of the resultant thin films. Electronic transitions from the Si(2p) core to the conduction band were measured in-situ by low energy electron loss spectroscopy (LEELS) to reveal the change in optical gap energies with H incorporation in the film. The amount of hydrogen incorporation in the film was determined by Fourier transformation infrared spectroscopy (FTIR) ex-situ. The growth mechanism of a-SiC:H by DC-PCVD is also suggested.
PS-TuP-31 Etching of Pt/RuO2 Bilayer Electrode for PZT in a High Density Cl2/O2 Plasma
S.-G. Park, J.G. Lee, H.S. Jung (Inha University, Korea); D.-K. Choi, M.-S. Jeon (Hanyang University, Korea)
Bilayers of Pt and RuO2 have been studied as bottom electrodes of ferroelectric or high dielectric storage capacitors in future semiconductor memory devices because of compensating advantages of each materials. Pt electrodes have been known to provide lesser leakage current and better dielectric properties for ferroelectric capacitors than RuO2 electrodes, while RuO2 is easier for patterning by dry etching than Pt. Pt films are hard to form volatile compounds with any reaction gas in dry etching at room temperature, and often forms hillocks. RuO2 electrodes have poor leakage but good fatigue characteristics, and easier to be etched by O2 containing plasma. Thus, the bilayers of thin Pt and thick RuO2 films have been suggested to provide better electrical and etching properties at the same time. In this study, leakage currents of PZT capacitors with different Pt thickness are measured and the optimum Pt thickness is sought. Etching properties should also be considered to determine the optimum thickness, and thus are studied in an inductively coupled Cl2/O2 plasma. Etch mechanism of Pt and RuO2 films are first investigated and the etch rates and selectivity against PECVD SiO2 are measured. Chemical reaction is responsible for RuO2 etch in oxygen, but physical sputtering is the major factor in Pt etching in Cl2 plasma. Finally, patterning of the submicron 15 nm Pt/200 nm RuO2 electrodes using CVD SiO2 hard masks are demonstrated. Trade-off is needed to get the best etch profile because the best selectivities of each materials are obtained at different gas mixture ratio.
PS-TuP-32 Classical Dynamics Simulations of Ion-Surface Energy Transfer at Hyperthermal Collision Energies
D.G. Schultz, H. Lim, L. Hanley (University of Illinois, Chicago)
Study of the energy transfer that occurs in hyperthermal ion-surface collisions is useful for understanding reactive ion and plasma etching and deposition. We have performed classical dynamics simulations that predict energy transfer from hyperthermal polyatomic molecular ions to a well-defined organic monolayer on a metal substrate. These simulations allow us to determine the average energy imparted to the surface, as well as the remaining energy partitioned between the translational, vibrational, and rotational modes of the scattered ion. These results are compared with experimental data for the scattering of thiophene and silicon trimethyl ions at 5-30 eV from a monolayer of hexanethiol self-assembled on Au(111). Both simulations and experiments suggest that organic surfaces are highly inelastic collision target. Work supported by the National Science Foundation.
PS-TuP-33 Characterization of Low Dielectric Constant PECVD Fluorinated Silicon Dioxide Films as Intermetal Dielectric Layers
K.H. Kim (Louisiana State University); D.H. Kwon (Kyungil University, South Korea); G. Nallapati, G.S. Lee (Louisiana State University)
The characteristics of PECVD fluorinated silicon dioxide films deposited within the temperature range of 80-220C using disilane as silicon source were studied. The film deposition was made in conventional parallel plate plasma reactor by flowing 2 sccm of disilane, 100 sccm of nitrous oxide, and 20 sccm of tetrafluomethane. The deposition rate of the film increased from 16.7 to 18.4 nm/min as deposition temperature increased from 80-220C; meanwhile, the refractive index was 1.46 regardless of the variation of deposition temperature. The infrared transmission spectra of the films were also investigated for different deposition temperature. For each case, the characteristic peaks of Si-O and Si-F bonding were found. High frequency C-V measurements on the MOS capacitors fabricated with these films showed that the net effective oxide charge varied in the range of 1.27X1010 - 1.46x1011 cm-2 depending on deposition temperature. It was also observed that the relative dielectric constant for the film deposited at 180C was 3.75 showing the lowest value within the deposition temperature of 80-220C. Further characterization details for the films deposited at 180C will be reported including the results of Auger electron spectroscopy and ramp I-V measurements.
PS-TuP-34 Vapor Deposition of PTFE-like Films for Interlayer Dielectrics Using a Novel Pyrolytic CVD Reactor
B.A. Cruden, K.K. Gleason, H.H. Sawin (Massachusetts Institute of Technology)
Thin polytetrafluoroethylene(PTFE)-like films have been deposited using a novel pyrolytic CVD process and characterized as an interlayer dielectric (ILD). The film is produced through a pyrolytic CVD process, whereby the precursor, hexafluoropropylene oxide (HFPO) is thermally decomposed, producing CF2 radicals as the reactive species. The radicals then deposit on the cooled lower electrode, forming a film similar to bulk PTFE, with minimal cross-linking and a high CF2 content. Deposition rates versus temperature give an activation energy consistent with this model. This process has been carried out in a reactor we have designed to deposit uniform films (< 3% thickness variation) on 4" silicon wafers. Film composition has been verified by XPS, showing films that are ~80% CF2. More cross-linked films can be formed by introducing a pulsed plasma, allowing the film composition to be controlled between ~30% and ~80% CF2 fraction. Scotch tape tests of these films show acceptable adhesion to silicon, oxide, and aluminum surfaces, as the films chemically bond to the surface in the deposition process. Capacitance vs. voltage (C-V) measurements on these films indicate a dielectric constant around ~2.0, as seen in bulk PTFE. Thermal properties of these films have also been measured.
PS-TuP-35 Hydrogen Incorporation during Fast Deposition of Amorphous Hydrogenated Silicon
R.J. Severens, F. Van de Pas, M.C.M. van de Sanden, W.M.M. Kessels, L.J. Van IJzendoorn, D.C. Schram (Eindhoven University of Technology, The Netherlands)
By admixing silane to an expanding thermal argon/hydrogen plasma, we have obtained an intense source of SiH3 radicals, as was indicated from silane consumption measurements. The substrate temperature, which critically determines the material properties, can be controlled within 10K over the range 50-450 °C. The growth rate can be varied in the range from values similar to those obtained in classical RF PECVD to growth rates which are two orders of magnitude larger. Amorphous silicon with an AM 1.5 photo conductivity of 6 10-5 Ohm-1cm-1, a defect density of 1016 cm-3 and an Urbach energy of 50 meV has been deposited at a rate of 10 nm/s. Using this plasma source growth studies of a-Si:H are performed. By varying the substrate temperature and growth rate and by isotope labeling of the precursor gases (using D2 and SiD4 the hydrogen incorporation is studied. Hydrogen concentration measurements are performed by means of Elastic Recoil Detection Analysis measurements and Fourier Transform Infrared absorption measurements. The growth rate and growth flux are determined by means of in situ HeNe ellispometry and Rutherford Backscattering measurements ex situ. It appears that more hydrogen is incorporated at higher growth rates and lower temperature and that about 90 % of the incorporated hydrogen originates from silane. It is concluded that atomic hydrogen or deuterium can only incorporate in a-Si:H by means of exchange reaction on the growth surface. The classical optimum deposition temperature of 300 oC in terms of film properties is observed at low growth rates; at high growth rates the quality improves monotonously with temperature. It is observed that both the growth rate and growth flux decrease with increasing temperature. No drastic increase of the growth rate or growth flux is observed above the hydrogen desorption temperature. Possible mechanism explaning both observations will be given.
PS-TuP-36 Carburization and Growth of ß-SiC on Si (100) using Ultra-High Vacuum Electron Cyclotron Resonance Chemical Vapor Deposition
J.H. Pyo, K.W. Whang (Seoul National University, Korea)
We investigated the effects of process parameters on the formation of thin ß-SiC layers by the carburization of Si (100) surface using ultra-high vacuum electron cyclotron resonance chemical vapor deposition (UHV ECR CVD). Substrate DC bias and flow ratio of CH4 to H2 turned out to be the most important parameters which determines the crystallinity of the layers. Crystallinity of the layers is investigated by the in-situ reflection high energy electron diffraction (RHEED). In-situ H2 plasma cleaning and the confirmation of clean 2X1 Si (100) is done before the carburization process. RHEED showed that single crystalline ß-SiC is formed at 700 C when a positive bias voltage is applied to the substrate, while floating and negative bias made the crystallinity of the layer degrade, which resulted in poly and amorphous layers respectively. In addition to positive bias, proper value of the flow ratio of CH4 to H2 seems to be essential for a ß-SiC layer to form at 600 C. Growth of ß-SiC using the gas mixtures of SiH4/CH4/H2 is executed after the carburization.
PS-TuP-37 Plasma Enhanced Chemical Vapor Deposition of SiOxNy in an Integrated Distributed Electron Cyclotron Resonance Reactor.
P. Bulkin, B. Drevillon, N. Bertrand (Ecole Polytechnique, France); J.C. Rostaing (L'Air Liquide, France)
Integrated Distributed Electron Cyclotron Resonance (IDECR) high density plasma reactor is used for the deposition of SiOxNy thin films with adjustable optical properties. The reactor has plane symmetry and appears promising for large area applications. Films are deposited onto silicon substrates (bare and covered with polymer layers) and their properties are analyzed with UV-VISible and Infra-Red phase-modulated spectroscopic ellipsometry. With no substrate heating dense, non-absorbing stoichiometric films of SiO2 and Si3N4 are grown from the mixture of SiH4, O2 and N2. Influence of process parameters, such as deposition pressure, temperature, microwave power, RF bias and gas composition is studied. Refractive index (taken at 632.8 nm) can be tuned from 1.46 to 1.97 by simply varying the gas composition. Further increase is possible if excessive silicon is introduced into the layer. Obtained deposition rates are between 2.0 and 0.5 nm/sec, for high quality SiO2 and Si3N4 respectively. Examples of antireflection coatings and high reflection filters, based on SiOxNy are grown and evaluated.
Time Period TuP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule