AVS1997 Session PS-MoP: Plasma Sources, Sensors, Processes and Damage

Monday, October 20, 1997 5:30 PM in Room Exhibit Hall 1

Monday Afternoon

Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule

PS-MoP-1 Probe Diagnostics in a Full Wave Helical Resonator Discharge
G.K. Vinogradov, V.M. Menagarishvili, S. Yoneyama (MC Electronics Co., Japan)
A Full Wave Helical Resonator (λ-HR) is a novel capacitively balanced inductive plasma source. It has three separated inductive excitation zones strictly located on their positions along the resonator axis and having a zero total magnetic momentum. 2-4.5 kW, 27 MHz, 0.1-2 Torr pressure discharges in Ar, O2 were studied by means of a movable single RF compensated cylindrical Langmuir probes of 5-25 micron radii. Flat wall probes were also used in order to reveal the distribution of positive ion flux along the wafer surface. The electron density in the λ-HR varies from about 107-108 cm-3 at the wafer surface to about 1012-1013 cm-3 in the plasma toroids at about 1 Torr gas pressure. One of the main problem of the probe operation was a high gas temperature in the plasma toroid areas, typically above 1200 K, and high power dissipation on the probe surface. Thats why not only fine cylindrical but also 40-60 micron radii spherical probes were used. The probe technique and the results of measurements will be presented. A discharge structures of the two high power plasma sources of about 9 and 13 inch inner diameter will be described.
PS-MoP-2 Real Time Characterization of Plasma Etch Rate and Selectivity.
M. Harper, M. Sarfaty, C. Baum, N. Hershkowitz (University of Wisconsin, Madison)
In-situ real-time measurement of thin film etching and deposition is necessary for semiconductor process development as well as monitoring and control. The relatively high process rates in high density plasma tools and the shrinking thickness of the films requires a fast estimate of the process rates. Two-color laser interferometry is used to determine in real-time, within a second, the etch rate and etch selectivity of two thin transparent films. The advantages of two laser wavelengths will be described. Etch selectivity is calculated from the ratio of the instantaneous etch rates of the two films measured simultaneously as a function of the tool state. The experiment is performed in a magnetically confined ICP tool. The tool state, gas flow, pressure, and RF power to the antenna and the electrostatic chuck is computer controlled and monitored. The etch selectivity of polysilicon and SiO2 films using Cl2, CF4 and CHF3 gases over a large parameter space of tool states will be described. The experimental setup is configured to utilize both single and double laser points. Both schemes are used to determine the etch rate of the two films. In the single point scheme the etch selectivity is determined from sequential etching of stacked films. In the two points scheme the selectivity is determined in real-time during the etch process. The dependence of the etch selectivity on various tool parameters will be described. A comparison between the etch selectivity obtained by the two methods will be discussed. Langmuir kinetics modeling of the measured etch rates of polysilicon and SiO2 films in Cl2 and CF4 discharges will be described. This work is funded by NSF grant No. EEC-8721545.
PS-MoP-3 Electron Temperature Characterization of the Decoupled Plasma Source through Experiment and Simulation
P.K. Loewenhardt, W.J. Zawalski, Y. Ye, D. Lymberopoulos, D. Ma (Applied Materials)
Inductively coupled plasma (ICP) sources allow a high ion density to be obtained at relatively low pressure, and provide varying degrees of separate control of the ion flux and ion energy supplied to the wafer surface. In order to etch small line widths on complex film structures without inducing device damage, both the plasma source and chamber must be optimized to provide process flexibility and low potential for device damage. Electron temperature has been shown to be related to device damage, as well as to profile control and notching effects 1. It is therefore an important characteristic of an etching reactor. Production of a uniform plasma with a low, uniform electron temperature across the wafer reduces the probability of electrical device damage. Langmuir probe measurements from the Decoupled Plasma Source metal etch reactor will be presented showing magnitude and trends of electron temperature. Plasma simulation results will be presented showing the relationship between chamber geometry and electron temperature intensity and gradient. Simulation results from the Hybrid Plasma Equipment Model (Prof. M. J. Kushner of the University of Illinois 2) is used for the simulation study. This code is a 2-D axisymmetric plasma model. The chamber geometry selected for use in the simulation demonstrates the source concept and approximates the experimental conditions.


1See, for example, J.C. Arnold and H.H. Sawin, J. Appl. Phys., 70, p.5314, 1991.
2P. Ventzek, R.J. Hoekstra, and M.J. Kushner, Two-Dimensional Modelling of High Density Inductively Coupled Source for Materials Processing, J. Vac. Sci. Technol. B 12, pp. 3118, 1994.

PS-MoP-4 Plasma Monitoring via Molecular Beam Sampling into a Quadrupole Mass Spectrometer
J. Wei, R.E. Pedder (ABB Extrel)
Plasma monitoring often requires quantitative analysis of the chemical species from the plasma reactions. In the analysis of the reactive species with quadrupole mass spectrometer, the sampling method plays an important role in the accuracy of the analysis. For example, radicals formed within a plasma can collide with other gas species to form secondary molecules if the pressure is too high after sampling from the plasma. Radicals can also collide with various surfaces to react with adsorbed species. Molecular beam sampling provides a mechanism to minimize the chemical reactions after the gas species have been sampled, by focusing on only those species which came directly from the plasma, discriminating against those species which had collision with other molecules or the chamber walls. The molecular beam sampling system used in this work consists of two successive apertures with two stage differential pumping. The analysis of plasma ions is effected through electrical isolation of the two apertures and the coupling of the quadrupole mass filter with a high resolution quadrupole deflector energy filter. The rapid pressure reduction in the first stage (between the sampling skimmer and second aperture) drastically reduces the scattered background in the second stage, where the quadrupole mass spectrometer is housed. The low scattered background in the second stage chamber also reduces the chemical reactions between the mass spectrometer filament and the reactive species coming from the plasma source, thus improving stability and filament lifetime. By modulating the molecular beam one can further differentiate the beam from the signal contribution from the scattered background. In this presentation, we will be presenting an overview of our plasma monitoring system, along with some typical performance (analysis of radicals, positive and negative ions, and neutral species) as measured on various plasmas.
PS-MoP-5 Use of Microwave and FTIR Spectroscopy for Determination of Gas Phase Species Concentrations in ECR Plasmas for SiO2 and SiOF Deposition
M.L. Jezl, E. Augustyniak, R.C. Woods (University of Wisconsin, Madison)
Relative and absolute concentrations of gas phase species in a 2.45 GHz electron cyclotron resonance (ECR) reactor employing SiH4 +O2, SiH4 + SiF4 + O2, and TEOS + O2 plasmas were obtained as a function of various plasma parameters. Species concentrations in these high density, low pressure plasmas were determined by the simultaneous application of microwave and Fourier transform infrared (FTIR) absorption techniques. Microwave spectroscopy, with a resolution of 10-5 cm-1, can detect fractional absorbances as low as 10-6 (corresponding to species densities of 109 - 1011 cm-3). This makes it a sensitive and extremely selective diagnostic technique for identifying many molecules and transient molecular fragments occurring in these plasmas. Molecules undetectable by this technique, either because they have no dipole moment (CO2, SiH4, SiF4, TEOS) or because their rotational transitions fall outside the available microwave range (HF, H2, CO), are potentially detectable by FTIR, which frequently permits monitoring of several different species at the same time. Trends associated with the presence of various species can be correlated with film properties such as thickness, refractive index, infrared absorption, and wet etch rate. Plasma parameters investigated include flow rates of feed gases, total pressure, and source power. *This work was supported by the NSF under Grant No. EEC-8721545. Additional support came from Texas Instruments, Hewlett-Packard, Schumacher Co., and ASTeX.
PS-MoP-6 Supersonic Pulse, Plasma Sampling Mass Spectrometric Studies of Silicon Etching with Chlorine
G.A. Gaddy, S.F. Webb, R. Blumenthal (Auburn University)
The etching of silicon with Cl2 in an ECR-Microwave plasma has been studied, using supersonic pulse, plasma sampling mass spectrometry. This new technique allows for the detection of all gas-phase species, including radicals that are present during the plasma etching process. Using traditional mass spectrometric methods, a mixture of SiCln (n=1-4) species is typically observed as the products of the Cl2 etching. Supersonic pulse, plasma sampling mass spectra showed SiCl as the primary gas phase etch product with little evidence for significant concentrations of other SiCln species within the plasma environment during etching. The etch rates and plasma composition were studied as a function of substrate temperature, process pressure and applied sample bias. Etch rates were determined from the depths of etch pits as measured with a Tencor Alpha-Step profilometer. The percent dissociation of Cl2 in these plasmas has also been investigated and was found to be ~65% dissociated and upper limit of ~81%.
PS-MoP-7 Langmuir Probe and Optical Emission Diagnostics in Inductively Coupled Plasmas
M.V. Malyshev, V.M. Donnelly, A. Kornblit, N.A. Ciampa, J.I. Colonell, J.T.C. Lee (Bell Laboratories, Lucent Technologies)
Spatially resolved positive ion density (ni+), electron temperature (Te), and plasma and floating potentials (Vp and Vf) were measured with an RF-filtered, scanning Langmuir probe (PMT FastProbe) in Cl2, Ar, He, Ne, BCl3/Cl2, and HCl/Cl2/N2 inductively coupled plasmas (LAM Alliance metal etcher with a high-flow chamber). Positive ion density increased nearly linearly with power for all of the gases. At 900 W (0.1 W/cm3) and 10 mTorr of Cl2, ni+ = 2.5e11 cm-3 in the center of the reactor. The plasma density decreases slightly with increasing pressure. This reverse pressure dependence was enhanced as the distance between the antenna and the chuck (gap) was decreased from 11 to 8 cm. Plasma density uniformity across the chamber and across the wafer (which can be a source of damage) was investigated for different conditions. The uniformity depends weakly on power, more strongly on chemistry and RF-bias, and strongest on pressure and the gap. These dependencies are discussed in terms of power deposition into the plasma. The pressure, power and reactor configuration dependencies of Vf and Vp were also measured. Complications of such measurements with a conventional Langmuir probe will be addressed. It was shown that in the reactor with insulating walls, the Langmuir probe itself can perturb the plasma. To eliminate this effect, additional experiments with an explicitly introduced ground in the plasma (a small grounded aluminum electrode inserted into the plasma) were conducted. Partly because of such perturbations from the Langmuir probe, Te measured with the Langmuir probe were higher than those obtained from optical emission spectroscopy.
PS-MoP-8 New Aspects of the Analysis of Langmuir Probe Data
A. Karamcheti, C. Steinbrüchel (Rensselaer Polytechnic Institute)
Two new aspects regarding the analysis of Langmuir probe data are presented. First, we demonstrate that the numerical results of Laframboise for spherical probes in the orbital-motion-limited regime can be parametrized easily for arbitrary ratios of the probe radius rp to the Debye length LD. The ion current can be expressed in the form aXb, where a and b are parameters depending on rp/LD, and X is the dimensionless probe voltage. This functional form is the same as the one for cylindrical probes, introduced previously, but the values of a and b are different. Second, we use numerical simulations of the I(V) characteristic of a cylindrical probe for the purpose of investigating how sensitive the apparent electron energy distribution is to the method by which the ion current is approximated and subtracted from the total probe current in order to obtain the electron current. It is best to fit the ion current accurately and self-consistently, but a linear extrapolation of ion current vs probe voltage is often adequate for determining the electron temperature. On the other hand, assuming a constant ion current leads to electron energy distributions which appear to have two components with different electron temperatures.
PS-MoP-9 Measurements of BCl, Cl+*, and Cl- Densities in Inductively Coupled BCl3/Cl2 Plasmas
C.B. Fleddermann, G.A. Hebner (Sandia National Laboratories)
Relative BCl radical, relative metastable chlorine ion (Cl+*), and absolute Cl- ion densities as a function of discharge parameters such as gas mixture, input power, and total gas pressure have been measured in BCl3/Cl2 mixtures with added argon or nitrogen. Laser induced fluorescence (LIF) was used to measure the BCl and Cl+* densities, and laser photodetachment was used to measure Cl- densities in a GEC reference cell operated in the ICP configuration. The Cl+* temperature was also measured using LIF. No significant interactions between BCl3 and Cl2 or Ar were evident in the BCl density measurements. However, the BCl density was suppressed by addition of nitrogen to the plasma. The Cl+* measurements indicated that the ion temperature varied from 2000 to 3000 K depending on discharge conditions. The addition of BCl3 to a Cl2 plasma decreased the chlorine ion density without causing a significant change in ion temperature. Addition of N2 to a BCl3 plasma caused a factor of three increase in the Cl+* density suggesting that transfer of energy from long-lived nitrogen excited states can enhance the decomposition of BCl3. In discharges containing Cl2 and BCl3, Cl- was the only negative ion detected. Interactions between Cl2 and Ar, and Cl2 and BCl3 altered the Cl- formation kinetics. Addition of N2 to Cl2 plasmas had very little effect on Cl- density, but addition of N2 to BCl3 discharges caused an increase in Cl- production. This work was supported by the United States Department of Energy under Contract DE-AC04-94AL85000. Sandia is a multiprogram laboratory operated by Sandia Corporation, a Lockheed Martin Company, for the United States Department of Energy.
PS-MoP-10 Dynamic Images of Plasma Processes: Use of Fourier Blobs for Endpoint Detection During Plasma Etching of Patterned Wafers
N. Layadi, J.T.C. Lee, E.A. Rietman (Bell Laboratories, Lucent Technologies)
By monitoring various process parameters such as source power, bias power and optical emission signals as a function of time, we show that Fourier series decomposition of the values of those parameters, at each time step, plotted on polar coordinates, gives closed curves representing the state of the plasma and the activity on the wafer. A change of the shape of the cosed curve is a signature of process recipe changes or the endpoint of an etch. The technique was successfully applied to the etching of TiN/amorphous-Si and doped amorphous-Si gate stacks as well as to oxide etching to fabricate contacts. Moreover, the method is reproducible from wafer-to-wafer and can be used easily by inexperienced operators to spot endpoint in plasma processes. This Fourier series representation provides an uniquely informative image, whose changes are more readily recognized by humans and, thus, may assist in production line process control.
PS-MoP-11 Internal Sheaths in Electronegative Discharges.
I.G. Kouznetsov, A.J. Lichtenberg, M.A. Lieberman (University of California, Berkeley)
In three component electronegative discharges a parameter regime can be found in which the positive ions reach the local ion sound velocity at a position where the negative ion density may be significant compared to the electron density. For this regime a quasineutral electronegative core breaks down and a space charge region forms. Equilibrium solutions are obtained using a hydrodynamic model. Solutions in the space charge region are obtained in collisionless and collisional cases, neglecting ionization and positive-negative ion recombination. The structure of the non-neutral region is shown to vary significantly with the ratio of the negative ion and electron densities at the core edge, the ratio of ion and electron temperatures, and the ratio of the electron Debye length to the ion mean free path. If the first ratio is not too large the non-neutral region displays potential oscillations on the electron Debye length spatial scale, which damp away on the scale of the ion--neutral mean free path. The non-neutral region then terminates within the plasma. The change in electric potential across this region is several times the negative ion temperature, which is sufficient to confine the negative ions to the core. The non-neutral region merges with a quasineutral halo containing essentially only positive ions and electrons. If the negative ion density is sufficiently high compared to the electron density the electropositive halo disappears and the non-neutral region extends from the ion sound velocity threshold to the wall.
PS-MoP-12 The Effects of Extraordinary Waves on the Uniformity of ECR Plasma
Y. Ueda, Y. Kawai (Kyushu University, Japan)
In recent years, electron cyclotron resonance (ECR) discharges have been applied to specific applications such as submicron etching, thin film deposition. A significant advantage of ECR plasma sources are the high electron density which can be achieved at low gas pressure. As devices and features decrease in size, interest has been directed toward the uniformity and the area of the ECR plasmas. It is known experimentally that the uniformity of ECR plasmas depends on incident microwave power, gas pressure and magnetic field configuration. Hence, the production of a large diameter uniform ECR plasma has become one of the most important subjects in plasma processing. The very uniform ECR plasmas whose electron density is in the range from 5x1010 to 2x1011cm-3, were achieved by optimizing the experimental conditions. However, the physical mechanism of the uniformity of the ECR plasma has not been clear yet. We report on the experimental results of propagating extraordinary waves (X-wave) and the mechanism of the uniform ECR plasma from the point of view of the effect of the X-wave. The plasma reactor1 consists of a vacuum chamber, magnetic coils and a magnetron, as usual. In a chamber with large diameter, standing electromagnetic waves were excited radially. With increasing the input microwave power, the waves became X-wave with long wavelength. In contract to this, the wavelength of X-wave became shorter according to the local plasma density near the chamber wall. Furthermore, the electric field strength was large near the chamber wall before and after the ECR point. The electron density jump occurred when the electron density is equal to the cutoff density of X-wave at ECR point. At the same time, the radial profile of the ion saturation current density changed the shape from uniform to convex. Increasing the input microwave power furthermore, only whistler waves propagated in the high density plasma of about 10 12 cm -3.
PS-MoP-13 3D Effects in the Downstream Microwave Reactors
B. Lane (Plasma Dynamics); E. Hyman, K. Tsang, A. Drobot (Science Applications International Corporation)
Downstream reactors in which a microwave plasma is employed to dissociate a stable feed gas into reactive species are currently used in a number of applications. The efficiency of such reactors becomes crucial in scaling up for 300 mm wafers. This efficiency is directly affected by the 3D configuration of the plasma which is in turn determined by the details of the microwave cavity geometry. The underlying physics determining the size and shape of the plasma are illuminated using a reduced model and the results employed in a full 3D reacting fluid simulation of a tubular reactor using an oxygen chemistry.
PS-MoP-14 Improving plasma process parameters by using of 40.68 MHz instead of 13.56 MHz
W. Rehak, M. Kammeyer, M. Klick, H. Mischke (Adolf-Slaby-Institute, Germany)
Electron density, collision rate, resonance frequency, sheath thickness, bulk power, and rf generator power in dependence on bias voltage for two different generator frequencies (13.56 MHz, 40.68 MHz) in argon, oxygen, fluorine gases and gas mixtures were investigated. The measurements were performed in a commercial RIE reactor. A new method for the determination of the internal plasma parameters is the self excited electron plasma resonance spectroscopy (SEERS). SEERS is based on the occurrence of harmonics in the discharge current due to the nonlinearity of the sheath at the powered electrode. Owing to the inductive behaviour of the plasma body (bulk, < 0) and the capacitive nature of the rf sheath, a nonlinear and damped series resonance can be observed and used for plasma diagnostics. The eigenfrequency depends directly on Langmuir frequency and electron density, respectively. In order to perform the measurement, the plasma monitoring system HERCULES was used. Etching rates and endpoint detections in different real process conditions were investigated. The high sensitivity and the capability of endpoint detection at two different frequencies is shown.
PS-MoP-15 Plasma and Etch Uniformity Measurements from a Planar Inductively-Coupled Plasma Reactor Scaled for 300mm
B.K. McMillin, T.Q. Ni, H.T. Nguyen, W.Z. Collison, M.S. Barnes (Lam Research Corporation)
Plasma modeling, Langmuir probe and plasma "patternator" (probe array) measurements were used to characterize plasma density uniformity in a planar inductively-coupled plasma reactor scaled to etch 300mm diameter substrates. The plasma "patternator" is a 300mm electrode equipped with an array of 61 ion flux probes in which the electrical leads are routed through the electrode to minimize plasma perturbations. The array of surface-mounted probes are dc isolated from the electrode and biased to collect ion saturation current. Data are collected via a fully automated, high-voltage multiplexed LabVIEW data acquisition system. The results illustrate that excellent plasma uniformity is achieved across a 300mm substrate over a wide pressure range for a number of common etch chemistries. Etching data from 300mm substrates correlates very well with plasma density measurements. Excellent uniformity has been obtained for ion-assisted processes such as polysilicon and silicon nitride etching. Chemically dominated processes which run in "depletion" mode, such as aluminum etch, require uniform neutral and plasma density profiles over a 300mm substrate. A symmetrically-pumped, high conductance reactor is shown to provide the neutral and plasma distributions needed to obtain very good aluminum etch uniformity.
PS-MoP-16 Deposition of SiO2 Using an Atmospheric Pressure Plasma Jet
S.E. Babayan, J.Y. Jeong, R.F. Hicks (University of California, Los Angeles); G.S. Selwyn (Los Alamos National Laboratory)
We have developed an atmospheric-pressure plasma jet (APPJ) for the deposition of thin films at high rates and at 1 atm pressure. A gas containing helium is fed between two closely spaced coaxial electrodes. A discharge is generated by driving the center electrode with a 13.56 MHz RF source at between 100 and 500 W. Exiting from the electrodes is a jet of reactive species which can be combined with other chemical constituents to produce a mixture suitable for thin film deposition at low temperature. For example, an He/O2 plasma jet was mixed with tetraethoxysilane (TEOS), and used to deposit silicon dioxide films at growth rates up to 2000 Angstroms/min at 250 ° C. The properties of the films, as measured by ellipsometry, infrared spectroscopy and capacitance measurements, indicate that they are of a quality comparable to that of thermally grown silicon dioxide. The deposition kinetics are similar to those observed in conventional LP PECVD process, although in the case of the APPJ, no ions are present in the reaction mixture. We have also used the plasma jet to deposit silicon oxy-fluoride and silicon nitride films at 1 atm and below 400 ° C. These APPJ thin film deposition processes will be described in detail at the meeting.
PS-MoP-17 Atmospheric-Pressure Plasma Jet Etching of Materials
J.Y. Jeong, S.E. Babayan, R.F. Hicks (University of California, Los Angeles); G.S. Selwyn (Los Alamos National Laboratory)
We report on a gamma-mode plasma discharge that operates at atmospheric pressure and between 100 and 200°C. This atmospheric-pressure plasma jet (APPJ), consists of two concentric metal electrodes with a gas containing He flowing at fast rate between the electrodes. The inner electrode is powered at 150 to 500 Watts and at a frequency of 13.56 MHz, while the outer tube is grounded. The effluent gas exiting the jet contains reactive species that can be used for etching. Using mixtures of He/O2, or He/O2/CF4, kapton, tantalum, glass and other materials can be etched at high rates. For example, tantalum can be etched at 1 micron/min with the He/O2/CF4 APPJ at 150 ° C. We have used infrared and optical emission spectroscopy and Raman spectroscopy to characterize the reactive species both inside the plasma and outside in the jet effluent. While ions appear in relatively high concentration inside the plasma, outside in the jet only neutral reactive species, such as metastable oxygen, are present. Thus, the plasma jet provides a new high-flux source of "cold", but highly reactive chemical constituents suitable for materials processing. At the meeting, we will describe the unique physics and chemistry of the atmospheric-pressure plasma jet.
PS-MoP-18 Production and Study of a Cold Plasma Beam Source
W.I. Urruchi, H.S. Maciel, G. Petraconi, C. Otani, M. Massi (Instituto Tecnológico de Aeronáutica, Brazil)
A home built source of streaming plasma for ion and radical beam with particle energies in a range of few tens of electron volts has been characterized . Similar plasma sources for plasma assisted film deposition of molecular beam epitaxy have recently been reported 1. The system comprises basically a glow discharge tube integrated to a high vacuum chamber. A quartz diaphragm separating the two parts has a central orifice which allows a differential pumping of the tube and induces the formation of a supersonic jet by expansion of the gas in the vacuum chamber. In this region a streaming plasma emerging from the orifice is produced by applying a dc voltage between a hollow cathode located inside the tube and the anode, the wall of the vacuum chamber. Plasma beam properties were investigated by using a movable array of flat Langmuir probes and an electrostatic ion energy analyzer placed axially to intercept the plasma beam. The system was tested for two different orifice diameters - 0,6 and 1,0 mm and for argon gas flow rate in the range of (1-7) sccm. Pressure ranges were (0,1 - 1,0) torr and (10-4 - 10-3) torr in the tube and in the vacuum chamber respectively. Probes floating potentials and ion currents were plotted to determine the axial and radial scales of the beam uniformity. The current -voltage characteristics of the analyzer show that the ion energies are in the range of (30 -80)eV. Pressure affects strongly the ion flux and only slightly influences the ion energies range. A mechanism based on the formation of a constriction double layer at the orifice is proposed to explain the charged particles acceleration. Finally the plasma beam source was tested for sputtering of metals and it can be concluded that it is a reliable, inexpensive and simple to realize particle gun for material processing.


1A Anders et al., Rev. Sci. Instrum. 67 (3), March 1996.

PS-MoP-19 Performance Characterisation of a RIE Reactor with a Built-in RF Excitation Coil.
M. Massi, R.D. Mansano, P.B. Verdonck (University of Sao Paulo, Brazil); H.S. Maciel (ITA, Brazil); M.B. Pisani, G.A. Cirino (University of Sao Paulo, Brazil)
Into a home built plasma reactive ion etching system, an aluminium RF coil was inserted to induce an inductively coupled plasma. Using compensated Langmuir probes, the floating and plasma potentials, electron density and temperature and ion densities were measured at mid-distance between cathode and coil. For 10 mTorr Ar plasmas with 50 W power applied to the cathode, increasing power to the coil from 0 to 25 W, increases the electron density with a factor of 15 and decreases the electron temperature by approximately 20%. This 25 W inductive power also leads to an increase of + 200 V and + 20 V of the DC bias voltage and plasma potential respectively, in this way decreasing substantially the ion bombardment on the cathode. When no power is applied to the cathode, positive DC bias voltages can be obtained at this electrode, depending on the coil power. SF6 plasmas were applied to etch monocrystalline silicon and showed the following trends : at 25 mTorr pressure and for the 50 W - 150 W cathode power range, an increase of the etch rate of approximately 250 nm/min was observed, when 50 W power was applied to the coil ( without coil power, the Si etch rate is 750 nm/min for 50 W cathode power ). For increasing pressures, the influence of the coil power on the etch rate decreases, and is negligible for pressures higher than 100 mTorr. 5 sccm SF6 - 15 sccm Ar plasmas with 100 W cathode power and 25 W coil power result in an anisotropy of 0.85 at 34 mTorr, while without coil power nearly isotropic etchings are obtained. Metal contamination on a silicon wafer, exposed to a plasma induced by a stainless steel RF coil, was measured by TRXF and showed a reduced density of metal atoms at the surface, compared with the contamination generated by a RIE plasma with a stainless steel electrode. Finally, one can conclude that the addition of independent RF power to a RIE system through an internal inductive coil can be used as a means to improve the control of the plasma parameters and to enhance the etching process performance.
PS-MoP-20 Controllably Unbalanced Dual Target Magnetron Sputtering System for Deposition of Superlattices.
C. Engström, T. Berlind, K. Macák, L. Hultman (Linköping University, Sweden); I. Ivanov, S.L. Rohde (University of Nebraska, Lincoln)
The design and plasma characterisation of a controllably-unbalanced dual target magnetron sputtering system used for deposition of superlattices are presented. Two Langmuir probes were used in this study, a small cylidrical probe to evaluate the plasma potential in the vicinity of the substrate and a large disk probe embedded in the substrate holder to measure the ion current density to negatively biased substrate. The two unbalanced 3"-magnetrons (type II) were designed to have opposite magnetic poles. An additional magnetic field in the vicinity of the substrate, from an in-situ Helmholtz coil, was applied to support the outer pole of each magnetron during its deposition period. Variation of the coil current was found to have strong effect on the plasma growth conditions. By increasing the current through the coil from 0 to 5 A, floating and plasma potentials in the vicinity of the substrate were found to vary from -7 to -30 V and from +1 to -15 V, respectively, for each magnetron operated at argon pressure of 2 mTorr and target current of 0.5 mA. Increased plasma density resulted in a 15-fold increase in ion bombardment intensity to the substrate, from 0.2 to 3.8 mA cm-2. Studies of the magnetic field and coil position was performed by using both magnetic powder and calculations by finite element method analysis. Results revealed that the magnetic field in the substrate vicinity and plasma density are relatively homogeneous over the large substrate area of 50 cm2. Ti-films were grown at ambient temperature at different ion-to-metal arrival rate ratios, varying from ~1.5 to ~20 ions per metal atom. Results from the film microstructure analysis by electron microscopy and X-ray diffraction will be reported.
PS-MoP-21 Large-Area Helicon Plasma Generator Using Multiple Sources
J.D. Evans, F.F. Chen (University of California, Los Angeles); G.R. Tynan (Trikon Technologies, Inc.)
Production of large-area, uniform-density plasmas suitable for plasma processing has been achieved using a seven-tube array of small helicon plasma sources to fill a large plasma chamber equipped with permanent magnet wall confinement. Plasma t of the plasma density at low mag netic fields (B~100G) by factors of 2-5 has been observed and related to the excitation of a helicon wave (for the m=1 case only as of the time of this abstract). Density uniformity to within ±3% for plasma areas in excess of 15 cm in radius has been measured using a pneumatically-driven, rapid-scanning probe (FastProbeR) and a rotatable radial Langmuir probe array ("lazy susan" probe). Azimuthal asymmetries in the edge region due to multipole confinement and location of gas feeds are also observed. Results of experiments investigating the relationship of the success of the m=0 antenna to the launching of helicon waves will also be presented.
PS-MoP-22 Surface and Helicon Wave Propagation in an Inductively Coupled Plasma at Low Magnetic B-field.
T.H. Lho, N. Hershkowitz, J. Miller, W. Steer (University of Wisconsin, Madison); G.H. Kim (University of Hanyang-Ansan, Korea)
Wave propagation in an inductively coupled plasma at low magnetic B-field (0-28G) has been measured. The plasma mode transition from the E-mode to H-mode has also been observed by applying an axially uniform low B-field. Plasma is generated by a planer four turn spiral coil antenna (whose axis is parallel to the B-field) mounted on the outside the chamber end window. There is no Faraday shield and the T-shape glass chamber dimensions are D = 10 cm, L = 35 cm. Many harmonic components of the applied 13.56 MHz are observed in each mode. The dominant frequencies extend to 5 th harmonic. An azimuthally symmetric (m=0 mode) surface wave with frequencies of f=13.56 and 27.12 MHz propagates at low densities (11 cm -3). At high densities (>n=10 11 cm -3) and ω c/ω>2.5 , helicon waves are observed. F.F. Chen (1995) UCLA-IPFR Report PPG-1553 A.W. Trivelpiece and R.W. Gould (1959) J. of App. Phy. 30,1784 E. Benova, I. Zhelyazkov, P. Staikov, and F. Cap (1991) Phy. Rev. A,44, 2625
PS-MoP-23 Time-Resolved Langmuir Probe Measurements in an Ionized PVD System
D.R. Juliano, D.B. Hayden, D.N. Ruzic (University of Illinois, Urbana)
The experimental apparatus consists of a commercial-scale magnetron1 with an RF coil between the target and substrate holder. This coil creates a secondary inductive plasma that ionizes a significant portion of the sputter flux en route from target to substrate. Ionization of the metal atoms that make up the sputter flux is highly sensitive to the high energy tail of the electron energy distribution. Since there is some capacitive coupling from the coil this high energy population could change through the RF cycle. Time-averaged Langmuir probe measurements would not reveal either the extent of this high energy population or its time dependence. Further, if the probe voltage were held constant for such time-resolved measurements, they would yield incorrect results. At probe voltages above the plasma potential minimum the sheath is disrupted so that at points in the phase for which the probe voltage is below plasma potential the data is invalid. Therefore in order to take valid measurements at all phase points, it is necessary for the probe voltage to follow the RF variation in plasma potential. This is accomplished with a second probe placed close to the first that measures the RF variation in floating potential (which is assumed to be equal to the variation in plasma potential) and an active buffer circuit that can superimpose this variation on the first probe's DC bias. Current-voltage traces as a function of phase then reveal plasma parameters as a function of time over the RF cycle.


1Donated by Materials Research Corporation, a wholly-owned subsidiary of Sony Corporation of America.

PS-MoP-24 Spatial Uniformity of CF4/O2 Chamber-Cleaning Plasmas
K.L. Steffens, M.A. Sobolewski (National Institute of Standards & Technology)
The use of fluorocarbon plasmas for both etching and chamber-cleaning processes is widespread in the semiconductor industry. Optimizing chamber-cleaning plasmas to assure high etch rates and high gas utilization efficiencies is difficult. Also, these plasmas are often non-uniform, causing etch rates to be different at different cell surfaces. Etch rates on some reactor surfaces are difficult to measure directly; however, spatial variations in etch rate are likely related to spatial variations in reactive species, which can be measured by optical techniques. Here, we investigate the spatial uniformity of 8 % O2/CF4 chamber-cleaning plasmas in the Gaseous Electronics Conference RF Reference Cell, at pressures varying from 100 mTorr to 1000 mTorr with 10 Watts of power deposited in the plasma. At each pressure, two-dimensional spatial density maps of the CF2 radical were obtained using planar laser-induced fluorescence (PLIF). Density and uniformity of CF2 were found to be maximized at pressures near 500 mTorr. Broadband optical emission measurements were also made. The PLIF and emission results are correlated to discharge current and voltage measurements. Equivalent circuit models which describe the electrical measurements also explain the optical results. These models have been shown to also apply to other chamber-cleaning plasmas and other reactor geometries. Together, these optical and electrical measurements can provide necessary validation of plasma simulations for optimization of chamber-cleaning processes and reactors.
PS-MoP-25 Use of a New Type of Atomic Hydrogen Source for Cleaning and Hydrogenation of Compound Semiconductive Materials
V.A. Kagadei (Reseach Institute of Semicoductor Devices, Russia); D.I. Proskurovsky (Institute of High Current Electronics, Russia)
A new type of atomic hydrogen source have been developed. The dissociation of molecular hydrogen occurs in a reflected Penning arc discharge with a hollow cathode containing a self-heating element. The discharge operating voltage is 70-400 V, the discharge current 0.1 - 3 A, the power density released in the discharge up to 200 W/cm3, the flow rate of hydrogen 12 sccm, and the pressure in the treatment zone 10-4 Torr. Semiconductor structures were treated in an expanding effusion jet of atomic hydrogen. The possibilities of the source intended for use in microelectronic technology have been investigated. The etching rate was measured for films of GaAs and InP anodic oxides. It has been found that the activation energy for etching was 0.14 eV for the GaAs oxide and 0.19 eV for the InP oxide. Ellipsometry and Auger electron spectroscopy were used to investigate the cleaning of the surface of the GaAs from the layer of natural oxide over wide ranges of temperatures and times. The time required to remove the oxide layer of thickness 3 - 3.5 nm is of the order of 1 min for 673 K and about 5 min for 573 K. The kinetics of the oxidation of the GaAs surface in air after cleaning was investigated. It has been established that the treatment of the GaAs surface in atomic hydrogen substantially slows down the rate of oxidation. Examples of using the atomic hydrogen source in the production of Schottky diodes and light-emitting diodes as well as in the process of hydrogenation of GaAs are presented.
PS-MoP-26 Stripping and Cleaning of Photoresist using a Low Energy Neutral Beam Source
X.M. Tang, D.M. Manos, C.A. Nichols (The College of William and Mary)
A low energy (5-10 eV) ICP-based neutral beam source is described in this paper. Using Ar + O2 and pure O2 gases respectively, we examined several stripping processes for photo-resist polymers, including PMMA and other materials. SEM, AFM, and STM studies of surface morphology and sidewall profiles are presented. A comparison to morphology resulting from argon ion milling is included. XPS and Auger were used to characterize the elemental composition and surface defects on substrates after stripping and cleaning. Results of this work indicate that an atomically clean surface can be achieved with a surface roughness better than 0.1 nm. Stripping rates are found to be functions of rf power, plasma potential, reflector bias, reactive gas composition, and total gas pressure. Ex-situ measurements using variable angle spectroscopic ellipsometry yield typical cleaning and removal rates of about 50 Å per minute. Means to increase this rate will be described. Methods for quantitative assessment of post-cleaning residues on sidewalls of features will also be discussed.
PS-MoP-27 Fabrication of High Aspect Contact Using A Surface Wave Coupled Plasma
H. Nambu, H. Kawamoto, H. Miyamoto (NEC Corporation, Japan)
A high performance etching process for high aspect contacts has been developed. The vertical etching of a deep contact hole having a minimum dimension of 0.12µm and an aspect ratio of 17.5 has been achieved with a photo-resist mask The progress of design rule reduction and the application of global planarization process such as CMP in ULSI fabrication require the formation of contact holes with larger aspect ratios. Moreover, to define mask patterns of less than 0.25µm. [1] F.H. Bell et al., J. Vac. Sci.Technol., A12, 3095 (1994).
PS-MoP-28 Role of Gas Feed on Oxide Etch Rate, Uniformity, and Selectivity in an Inductively Coupled Plasma Etch System
M.J. Lercel, D. Dang, N. Marmillion, W. Mlynko (IBM Microelectronics)
High-density plasma (HDP) etch systems are excellent for small-dimension contact and via etch applications, because they provide high dielectric etch rates and high selectivity between films. However, acoss-wafer uniformity of the etch rate and selectivity is an issue. To address this concern, we have studied the effect of gas feed configuration and dilutent gas flow for a high-selectivity etch process in a 200 mm wafer HDP etch system. The etch process consisted of a fluorocarbon and an inert dilutent gas. The oxide etch rate (patterned and blanket films), etch rate uniformity, and selectivity of oxide to nitride varied with both the dilutent gas flow and the use of either the top (chamber roof) or bottom (wafer chuck) gas ports in the Applied Materials HDP dielectric etch system. The etch rate uniformity and selectivity results of this study will be compared with gas flow and ion species effects caused by the different flows and gases.
PS-MoP-29 Polycrystalline Silicon/Polycrystalline Germanium Gate Patterning Studies in a High-Density Plasma Helicon Source
C. Monget, O. Joubert (France Telecom CNET, France)
Single crystal Silicon-Germanium alloys have been extensively studied for device applications. Polycrystalline Ge (referred to as poly Ge hereafter) is a favorable alternative to the polycrystalline Si (poly Si) gate electrode for Complementary Metal Oxide Semiconductor (CMOS) technology. The advantage of using Poly Ge, a so-called mid-gap material, is that it allows the use of single heavily p-doped (P+) polycide gates instead of the traditional P+/N+ doped material. The 200 mm diameter wafers covered with an oxide masked poly Si/Poly Ge/SiO2 gate stack were etched in a low pressure, high density plasma helicon source. Using mixtures of Cl2 and HBr with O2 wich are commonly used for poly Si, we observed strong deformation of the poly Si/poly Ge gate profiles whereas perfectly anisotropic etching profiles were obtained for poly Si gates. We demonstrated in a previous work that using those standard gas mixtures, no passivation layer was formed on the poly Ge sidewalls resulting in spontaneous etching of poly Ge by chlorine or bromine species present in the gas phase. Different chemistries which were expected to enable the formation of a sidewall passivation film on the poly Ge sidewalls were investigated. Best results were obtained using a Cl2/N2 gas mixture allowing the patterning of poly Si/Poly Ge gates on very thin gate oxides. The chemical constituents present on the tops, sidewalls and bottoms of the etched features were determined by XPS. Electrostatic charging of the insulating surfaces of the patterns (the oxide hard mask) and geometrical shadowing of photoelectrons by adjacent oxide masked poly Si/poly Ge features were performed to differentiate the photoemission signals coming from the insulating oxide mask and conducting poly Si/poly Ge surfaces. XPS analyses have shown that, using a Cl2/N2 gas mixture, a thin GeNx passivation film is formed on the sidewalls of the poly Ge features as the etching proceeds. As elemental germanium is detected on the sidewall of the stack, the passivation film is thinner than the maximum thickness probed by XPS (in this case around 7 nm). However, the thickness of the film is sufficient to prevent lateral etching by neutral chlorine species present in the discharge. This work has been carried out within the GRESSI consortium between CEA-LETI and France Telecom-CNET.
PS-MoP-30 Etch-Induced Physical Damage and Contamination in the Highly Selective Oxide Etching using C4F8/H2 Helicon Wave Plasmas
H.S. Kim, W.J. Lee, G.Y. Yeom (Sung Kyun Kwan University, Korea); J.H. Kim, K.W. Whang (Seoul National University, Korea); J.T. Baek (ETRI, Korea)
For the successful fabrication of next generation semiconductor devices, highly selective oxide etch processes are required. To achieved this goal, high density plasma sources are studied using gas combinations of high C/F ratio. The use of high C/F ratioed gas combination in high density plasma sources generally generates physical damage and residue on the silicon wafer during the overetch period. In this study, physical damage and residue remaining on the silicon wafer during the oxide overetch period using helicon wave plasmas was investigated and the effects of various cleaning and annealing methods on the removal of the remaining residue and damage were also investigated. Oxide etching was performed at 1.5mTorr ,1.5kW, and -80 volts of bias voltage using C4F8and C4F8/30% H2 helicon wave plasmas 1micrometer thick PSG was used as the oxide to be etched, and 50% and 100% overetchings of PSG were used as the overetch period. Remaining residues after the overetchings and after the cleanings were investigated using XPS, SE, and SIMS. The residue was composed of carbon, fluorine, and oxygen, and C-Fx (x=1) bonding was the most abundant carbon binding state in case of C4F8 plasmas. The use of C4F8/30%H2 plasmas changed the C/F ratio of the residue and the binding states of carbon in the residue. C4F8/30%H2 plasmas also increased the residue thickness. Physical and electrical damage was investigated using HRTEM and carrier life time measurement, respectively. More physical damage was observed for C4F8 plasma etched silicon wafers, however, electrical damage appeared to be less in case for C4F8/30%H2 plasmas due to hydrogen implanted to silicon surface. To remove the physical and electrical damage completely, the annealing temperature higher than 900C was required.
PS-MoP-31 Characterizing the Plasma Non-Uniformity: A Comparison of Model and Experiment
M.J. Buie, J.T.P. Pender, M. Dahimene (Applied Materials)
Magnetic fields were added to capactive discharges in order to reduce sheath voltages and increase densities. With this addition, the ion flux and ion bombardment energy for a given power (dc bias) could be varied independently. However, as a result, the plasmas generated are typically non-uniform both radially and azimuthally due to EX B drifts, where E and B are the local electric and magnetic fields, respectively. The non-uniform etching plasma can induce a dc current within the film on the wafer that can damage the film and therefore the device. The objective of this paper is to characterize the magnetic field in the eMxP+ chamber with a comparison of modeling and experimental etch data. At lower pressures, we are able to correlate the etch rate pattern across the wafer almost completely with the stationary magnetic field gradient. For higher pressures, there are other effects which become important and control the etch rate uniformity across the wafer. In order to better understand these effects, experiments were conducted which looked at the etch rate uniformity for both stationary (for damage) and rotating (for uniformity) magnetic fields.
PS-MoP-32 Damage Behavior in Shallow Trench Etch Processes using Cl2/HBr, Cl2/N2, and Cl2/BCl3 Planar Inductively Coupled Plasmas
Y.J. Lee, S.W. Hwang, G.Y. Yeom (Sung Kyun kwan University, Korea); J.W. Lee, J.Y. Lee (Korea Advanced Institute of Science & Technology, Korea)
Shallow trench isolation(STI) is a promising key technology for the deep submicron device fabrication of semiconductor integrated circuits because of its smaller active area encroachment, lower thermal cycle, and improved surface planarity. However, another concern is to minimize the physical damage during the etching of shallow trench to ensure good device performance. In this study, silicon trench etching applied to the shallow trench isolation of the integrated circuits was performed using Cl2/HBr, Cl2/N2, and Cl2/BCl3 planar inductively coupled plasmas(ICP). Silicon wafers patterned with 100Å pad oxide / 2,000Å nitride having 0.3-0.8micron linewidths were etched as a function of gas combination at -40volts and -100volts of bias voltages, 400Watts of inductively coupled power, and 10mTorr of operational pressure. The physical damage remaining after the etching and the degree of the damage removal by following oxidation and annealing processes were investigated. To investigate the effects of etch process parameters on the degree of the damage on the etched trenches, various evaluation techniques such as current-voltage, capacitance-voltage, secondary ion mass spectroscopy(SIMS), and high resolution transmission electron microscopy(HRTEM) were employed. HRTEM study for the silicon trenches etched at -100volts of bias voltage showed defects formed near the sidewall, bottom, and bottom edge of the silicon trenches etched in Cl2/N2 plasmas, and more dense defects in HBr/Cl2 plasmas possibly due to the penetration of hydrogen in HBr. Thermal oxidation process at 900C forming 200Å thermal oxide on the etched silicon surfaces did not remove the remaining physical damage completely and thermal oxidation processes higher than 1000C together with thermal annealing process were required to remove the damage completely. Effects of Cl2/BCl3 on the formation of the damage and the removal of the damage during the oxidation and annealing processes were also investigated.
PS-MoP-33 Topographic Charging Model in an rf Capacitively Coupled Plasma by the Boltzmann Equation
N. Nakano, J. Matsui (Keio University, Japan); M. Shibata (Fujitsu Limited, Japan); T. Makabe (Keio University, Japan)
Anomalous etching in a high aspect-ratio (depth/width)-hole and a charging damage have been experimentally reported in the field of plasma etching in ULSI processing. Anomalous etching, caused by the local charging of a patterned wafer surface immersed in a plasma, is one of the obstacles which must be overcome in plasma processing. It is necessary for us to investigate the phase-space behavior of electrons, irradiating the surface in order to clarify the electron transport in nonhydrodynamic regime in the sheath region close to the substrate. Understanding the electron phase-space transport in front of the substrate is essential to a quantitative understanding of surface-charging in plasma etching. We have developed a quantitative argument for the potential control of both the fluxes and the velocity components of charged particles on the wafer in a pulsed rf plasma with a short off-cycle in SF6. We have then used RCT/Boltzmann equation model to create a phase-space model. In this work, we describe the fluxes of electrons and positive and negative ions incident on the substrate surface. We also discuss the spatial and temporal behavior of the velocity distribution function of electrons in a reactive ion etcher (RIE) in SF6 during a pulse operation by means of the relaxation continuum(RCT)/Boltzmann equation model. The electron velocity distribution yields important insights into the charging phenomena in a high aspect-ratio hole. Topographic dependent charging speed and potential profile near the surface of dielectric mask and wafers are estimated.
PS-MoP-34 Feature Evolution During Silicon Trench Etching
F.P. Klemens, J.T.C. Lee, H. Lee (Bell Laboratories, Lucent Technologies); M.A. Vyvoda (University of California, Berkeley); M. Cerullo, V.M. Donnelly (Bell Laboratories, Lucent Technologies); M.V. Malyshev (Princeton University); D.B. Graves (University of California, Berkeley); A. Kornblit (Bell Laboratories, Lucent Technologies)
In advanced integrated circuit manufacturing, shallow trench isolation is used to realize tighter transistor packing density. In order to understand the fundamental mechanisms of silicon etching, we have processed over 40 wafers using oxide hardmasks to etch the underlying silicon substrate. We use a high density Transformer Coupled Plasma source to define features from 0.25um to 0.60um to isolated features and measure the etching rates, selectivities and profile shapes at different depths. Both Chlorine plasmas and Hydrogen Bromide plasmas are used with pressures between 2mT and 10mT, rf-bias powers from 150W to 250W, and source powers from 250W to 500W. We find that the etching is largely independent of aspect ratio at 10mT, but is weakly dependent at 2mT. This indicates that we are not in a processing regime that is limited by neutrals at higher pressures or when the etching rate is lower. Ion reflection from mask charging causes drastically different shapes in the trench bottoms as the aspect ratio increases. In addition, there are exist quantitative differences in the bottoms of trenches versus vias. These experimental data will be used as the basis for feature evolution modelling that will include reactor scale modelling as inputs into the microscale models.
Time Period MoP Sessions | Topic PS Sessions | Time Periods | Topics | AVS1997 Schedule