AVS1997 Session EM1-ThA: Surface Processing of Semiconductors

Thursday, October 23, 1997 2:00 PM in Room C3/4

Thursday Afternoon

Time Period ThA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1997 Schedule

Start Invited? Item
2:00 PM EM1-ThA-1 c(4x4) Reconstruction on Si(001) as a Warning of Carbon Contamination
K. Miki, K. Sakamoto, T. Sakamoto (Electrotechnical Laboratory, Japan)
We have examined the dependence of surface structure of hydrogen-terminated Si(001), which were either by dipping in 1% HF or dosing high amount of atomic hydrogen, on annealing temperature. With increasing annealing temperature in UHV, we first see a (1x2) reconstruction around 770 K, second c(4x4) in the narrow range of 850-1020 K, and eventually a very weak SiC transmission pattern appeared above 1020 K. The simultaneous disappearance of c(4x4) and appearance of a SiC pattern indicates that the c(4x4) reconstruction is associated with carbon contamination. The carbon concentration of 2.5 x1018 atoms/cm3 reveals that there is insufficient carbon for carbon atoms to form a component of the c(4x4) structure, and therefore the carbon must be having another effect, for example causing a stress field.$B!!(BA consequence of this c onclusion is that carbon is not responsible for C-type defects.
2:20 PM EM1-ThA-2 Correlating Surface SIMS and TXRF Measurements of Surface Metal Contamination on Silicon Wafers
S.P. Smith, J. Metz (Charles Evans & Associates)
The measurement of the surface metal contamination on silicon wafers is an essential part of yield improvement during IC processing. Total Reflection X-Ray Fluorescence (TXRF) and Secondary Ion Mass Spectrometry (SIMS) are widely used analytical tools used to monitor contamination on semiconductor wafers. A comparison of SurfaceSIMS measurements of surface metal contamination on silicon wafers with TXRF analyses of the same wafers is presented. These two important surface analysis techniques are characterized by differing analytical areas and sampling depths. For Fe, agreement between SurfaceSIMS and TXRF results is good (generally within a factor of two) for silicon wafers from a variety of sources with contamination levels ranging from 8x109 to 2x1015 atoms/cm2. Differences between SurfaceSIMS and TXRF results occur for other elements (such as W and Cu) when the contamination is not uniform across the surface of the wafer (particles are present), or extends a significant depth below the surface of the wafer (as is frequently the case for ion-implanted wafers).
3:00 PM EM1-ThA-4 Characterization of Fluorocarbon Polymers Generated by CHF3, CF4, CO, and C4F8 Chemistries during High Density Plasma SiO2 Etching
S.Y. Loong, H.K. Lee (National University of Singapore); L.H. Chan, M.S. Zhou (Chartered Semiconductor Manufacturing Ltd., Singapore); K.L. Tan, F.C. Loh (National University of Singapore)
In this paper, the polymer composition generated by three different oxide etch chemistries during high density plasma (HDP) SiO2 etching are studied in detail and the effects of O2 plasma treatment on these polymers are also presented. The three etch chemistries are CHF3/CF4, CO/CF4, and C4F8/CO chemistry. Five distinctive C1s peaks were identified using X-ray photoelectron spectroscopy (XPS), which correspond to C-C, C-CFx, CF, CF2 and CF31,2,3,4,5,6,7,8,9. The C/F ratio is found to be about 0.8, which is the highest ratio for polymer generated by C4F8/CO chemistry whereas the C/F ratios for those generated by CHF3/CF4 and CO/CF4 chemistries are about 0.6. Atomic force microscopy (AFM) images showed that the polymer generated by C4F8/CO chemistry is much rougher than that by CHF3/CF4 or CO/CF4 chemistries. After O2 plasma treatment, all the polymers generated by CHF3/CF4 and CO/CF4 chemistries were very smooth and have no visible changes. However, the surface morphology of polymers generated by the C4F8/CO chemistry will become flat and resemble that of a Swiss cheese. This suggests that the bombardment of oxygen ions have modified the polymer surface morphology. For both CHF3/CF4 and CO/CF4 chemistries, the XPS spectra show that the C1s peak intensities of CF3 and CF2 have reduced dramatically after the O2 plasma treatment. This is mainly due to the formation of COFx10 which explains the increase of C/F ratio from 0.6 to between 1.4 and 1.8. For C4F8/CO chemistry, a thick polymer film has been formed which causes surface charging and shifts all the C1s peaks to higher binding energies. The C1s peak intensity of C-C disappears and the C1s peak intensity of C-CFx, CF, CF2 and CF3 also decrease significantly after the O2 plasma treatment. However, the spectra show no observable changes for longer or shorter O2 plasma treatment duration, this indicates that the decrease of C1s peak intensity of the fluorinated carbon is independent of O2 plasma treatment duration.


1T. Ono, R. Hamasaki and T. Mizutani, Jpn. J. Appl. Phys. 35, 2468 (1996).
2T. Maruyama, N. Fujiwara, K. Siozawa and M. Yoneda, Jpn. J. Appl. Phys. 35, 2463 (1996).
3K. Siozawa, K. Tabaru, T. Maruyama, N. Fujiwara and M. Yoneda, Jpn. J. Appl. Phys. 35, 2483 (1996).
4Y. Zhang, G.S. Oehrlein and F.H. Bell, J. Vac. Sci. Technol. A 14, 2127 (1996).
5K. Takahashi, M. Hori and T. Goto, J. Vac. Sci. Technol. A 14, 2011 (1996).
6G.S. Oehrlein, Y. Zhang, D. Vender and M. Haverlag, J. Vac. Sci. Technol. A 12, 323 (1994).
7T. Akimoto, S. Furuouya, K. Harasima and E. Ikawa, Dry Process Symposium, 91 (1993).
8Y. Gotoh and T. Kure, Jpn. J. Appl. Phys. 34, 2132 (1995).
9K. Miyata, M. Hori and T. Goto, J. Vac. Sci. Technol. A 14, 2083 (1996).
10D.J. Vitkavage and T.M. Mayer, J. Vac. Sci. Technol. B 4, 1283 (1986).

3:20 PM EM1-ThA-5 Post-Poly Etch Residue Removal in a Microwave Plasma Environment for a 0.18 micron CMOS Technology
K. Lao, W. Wu, E. Park (Motorola, Inc.); J. Rembetski, J. Donohue, D. Gonseth (GaSonics International)
An all dry removal process is highly desirable for cleaning post etch residues for advanced semiconductor fabrication. This paper reports the use of a fluorine-based dry process for removing post-poly etch residue from polysilicon gate devices in an advanced CMOS technology with nominal widths of 0.18 micron and gate oxide thickness of 45 angstroms. A multi-chamber microwave plasma downstream process environment is used to achieve removal of post-poly etch residue and photoresist with no measurable damage and less than 20 angstroms gate oxide loss. These process results are compared to those achieved in the standard wet etch environment using a dilute HF chemistry. The dry process has the advantages of avoiding the undesirable safety, environmental and operating costs of the acid bath. A statistically designed experimental approach is used to examine the process parameter space in the dry clean process and to identify a useful operating point for both CF4 and NF3-based processes. These experiments include variations of pressure, platen temperature, and composition (CF4 or NF3 in O2). The measured responses were a residue removal “cleanliness” rating by scanning electron microscope (SEM) and oxide loss by detailed film thickness measurements. The behavior of these responses over the parameter space for each experiment will be presented and discussed. A passive data collection (PDC) was also performed on both NF3 and CF4-based operating conditions as identified by the designed experiments. This marathon exercise simulated a production environment by running wafers nearly continuously for 24 hours a day and 7 days a week and for a 30 day period. Wafers were monitored for cleanliness by SEM analysis, and oxide loss measurements were taken using a regular sampling plan. The NF3 process showed stable and complete removal of residues while the CF4 recipe demonstrated incomplete removal in several cases. Various blanket wafer follow-on measurements confirmed non-uniform removal when using the CF4 process and will be discussed. Gate oxide integrity (GOI) tests using charge-to-breakdown, Qbd, measurements demonstrate better or equivalent charging monitor performance for both the dry NF3 and CF4 recipes compared to the wet HF process. Particle generation and CD loss on 0.18 micron wafers further demonstrated better - or equivalent - performance to the wet control.
3:40 PM EM1-ThA-6 Gas/Solid Etching of Silicon Dioxide Using HF/vapor Mixtures
B. Bui, M. Le, A.J. Muscat (San Jose State University)
The removal of silicon dioxide films is important in integrated circuit device fabrication especially before gate oxide growth and epitaxial film deposition. Currently aqueous HF solutions are used industrially to remove silicon dioxide in most cleaning applications. Process tool integration, submicron feature sizes, and environmental concerns are driving the development of gas phase cleaning chemistries to replace some of the aqueous processes now used. Gas phase mixtures of HF and water or alcohol vapor have been shown to etch silicon dioxide. The accepted mechanism, yet to be confirmed, entails forming a condensed liquid film on the surface that both initiates and supports aqueous phase etching reactions. Previous work suggests, however, that a parameter domain exists in which gas phase oxide etching using HF and water vapor occurs by a true gas/solid or Langmuir-Hinshelwood reaction mechanism. In the current work, the etching rate and relative surface coverages of carbon, oxygen, and fluorine were measured in both the gas/solid and gas/liquid/solid domains as a function of the fractional partial pressures of HF and vapor, total pressure from 200 to 700 Torr, and temperature from 20 to 65°C using ex situ ellipsometry and Auger electron spectroscopy. Water and alcohol vapors were used alone and in combination to sustain etching. Etching rates varied from 10 to 300 Å/s under these conditions. The same scaling found for water-based etching holds for alcohol-based etching, making the fraction of the saturation vapor pressure of alcohol at the processing temperature the proper coverage metric for the etching reaction. The etching rate data are consistent with hydroxyl (-OH) groups on the vapor molecule activating siloxane (Si-O) bonds on the silicon dioxide surface which sustains the etching reaction.
4:20 PM EM1-ThA-8 In-Situ Formation and Patterning of Ultrathin SiO2 Mask Layers for Nanoscale Selective-Area Deposition of Si
T. Yasuda (JRCAT, National Institute for Advanced Interdisciplinary Research, Japan); D.S. Hwang, J.W. Park (JRCAT, Angstrom Technology Partnership, Japan); K. Ikuta, S. Yamasaki, K. Tanaka (JRCAT, National Institute for Advanced Interdisciplinary Research, Japan)
Selective-area formation of semiconductor nanostructures and quantum dots is expected to expand the capabilities for us to fabricate various advanced devices. We report a new scheme for nanoscale selective-area deposition of Si, which combines (i) in-situ formation of ultrathin SiO2 mask layers, (ii) direct and resist-less patterning of the mask by electron-beam exposures, and (iii) low-temperature selective-area CVD of Si. All these processes were carried out successively in a multichamber environment. The SiO2 mask layers were formed by exposing H-terminated Si(001) surfaces to remote plasma of O2/He at room temperature. Their thickness was controlled at an Å precision in the range from 0.5 to 2 nm. Our previous studies showed that such a thin SiO2 layer serves as an effective mask to suppress Si deposition on itself. Growth area on the surface was defined utilizing the electron-beam-stimulated desorption of oxygen from SiO2. The mask layer was irradiated by a focused electron beam of 15 keV to an integrated dose of 300 C/cm2, forming various patterns on the surface. The chemical composition of the irradiated area changed from SiO2 to a Si-rich one, as monitored by Auger electron spectroscopy. Selective-area deposition of Si was accomplished by two different chemistries: thermal CVD using Si2H6 at 853 K, and flow-modulated plasma-enhanced CVD at 473 K. For both deposition techniques we have succeeded in depositing Si selectively on the patterns defined by the electron-beam exposure. Since the minimum feature size is limited mainly by the diameter of the electron beam (about a micrometer in our system) but not by the effect of the secondary electrons, the technique presented is potentially capable of producing nanometer-scale structures if the state-of-the-art electron-beam column is employed. This work, partly supported by NEDO, was carried out at JRCAT under the joint research agreement between NAIR and ATP.
4:40 PM EM1-ThA-9 GaAs(110) Terrace Patterning by Halogenation and Laser Irradiation
B.Y. Han, C.Y. Cha, J.H. Weaver (University of Minnesota)
We have investigated the effect of photon irradiation on etching and the morphology of a Br-covered GaAs(110) surface. For low laser fluence conditions, irradiation with a pulsed Nd:YAG laser (2.3 eV) produced a dense population of small, single-layer etch pits that served as centers for subsequent vacancy growth. In this regime, Br was consumed via volatile Ga bromides and As desorbed spontaneously. Continued laser irradiation resulted in removal of Ga and As atoms from pit-edges via atomic emission processes. These results demonstrate that terraces of GaAs(110) can be patterned by suitable combinations of halogenation and laser irradiation. The effects of changing the substrate temperature (300-500 K) and laser power (5-50 mJ cm-2) will be discussed.
5:00 PM EM1-ThA-10 BEEM Study on Metal Schottky Contacts of 6H- and 4H-SiC
H.-J. Im, B. Kaczer, J.P. Pelz (The Ohio State University); W.J. Choyke (University of Pittsburgh); J. Chen (University of Florida)
We performed ballistic-electron emission microscopy (BEEM) measurements on metal/SiC Schottky contacts. Thin Pd or Pt films were evaporated on ex situ chemically cleaned epi-grown 6H- and 4H-SiC (0001) crystalline surfaces in ultra-high vacuum using e-gun evaporation. BEEM I-V measurements were performed to determine the local Schottky barrier height (SBH) with nanometer scale lateral resolution. SBH's were extracted from the BEEM I-V curves using the Bell-Kaiser model. On 6H-SiC, the Bell-Kaiser model assuming a single voltage threshold (corresponding to a single conduction band minimum) fits the BEEM I-V curve very well up to 1 V above threshold, with extracted SBH's of 1.275 eV for Pd and 1.368 eV for Pt. (Estimated uncertainty in threshold voltage was roughly 10 mV.) These SBH's are in general agreement with previously published data obtained via conventional methods. For 4H-SiC, extracted SBH's were 1.560 eV and 1.643 eV for Pd and Pt, respectively. However, to obtain good fits with the Bell-Kaiser model on 4H-SiC over a large voltage range, it was necessary to assume the existence of a second conduction band minimum approximately 200 meV above the first. These results will be compared with band theoretical calculations for 4H- and 6H-SiC. Apart from the aforementioned BEEM measurements, intentional stressing of the Pt/4H-SiC Schottky contact over a 1000Å2 wide region by flowing relatively large tip current (approximately 10 nA) at a higher bias (approximately 10 V) was done. Enhanced BEEM current over the stressed region were observed. Possible explanations of this phenomenon are (a) interface modification due to the hot carriers (b) trapping of positive charges in the depletion region due to the generation and/or activation of deep acceptor levels, thus enhancing the flow of hot electrons over the barrier. Part of the work done at the Ohio State University was supported by the Office of Naval Research.
Time Period ThA Sessions | Abstract Timeline | Topic EM Sessions | Time Periods | Topics | AVS1997 Schedule