AVS1996 Thursday Morning

Sessions | Time Periods | Topics | Schedule Overview

Hover over a paper or session to view details. Click a Session in the first column to view session papers.
Session Thursday, October 17, 1996
8:20 AM 9:20 AM 10:20 AM
AS-ThM
Enhanced Differential Charging during X-ray Photoelectron Spectroscopy as an Analysis Technique
New Approaches to the Control of Insulator Surface Charging in Surface Spectroscopy
Glass Surfaces
Determination of the Silanol and Molecular Water Concentration of Glass Surfaces
A Scanning Tunneling Microscopy and Tunneling Spectroscopy Study of the Reduced LiNbO\sub 3\ (0001) Surface
Structure and Composition of Oxidized Aluminum / NiO (100) Interfaces
Growth of SiO\sub 2\ by Tetraethoxysilane Pyrolysis on MgO Thin Films and Mo(100)
XPS Studies of Crystalline and Amorphous Alkali-Aluminosilicates
EM-ThM
Nanofabrication by Self-organizing Heteroepitaxy
Compositional Stability of Alloy Thin Films
Interfacial Properties and Relaxation of Epitaxial InAs Layers Grown on Gaas
Monte Carlo Simulations of the Transition between Step Flow and Island Growth Modes in OMCVD of GaAs
Various Factors Influencing the Interfacial Roughness in InGaAs/GaAs Heterostructures: A Cross-sectional Scanning Tunneling Microscopy Study
Nanometer-scale Investigations of Alloy Formation in Compound Semiconductor Structures
Atomic Level Investigation of the Growth of Si/Ge by UHV CVD
Ge Growth on Hydrogen Passivated Si(001) and Si(111) Surfaces
In Situ Measurements of Temperature-Dependent Strain Relaxation of Ge/Si(111)
FP-ThM
Electroluminescent Materials and Displays
Effects of Annealing and Stress on the SrS:Ce Thin Film Electroluminescent Phosphor
Electron Spectroscopy Studies of Interface Formation between Metal Electrodes and Luminescent Organic Materials
Effects of Metals on Luminescence of Organic Materials
Measurement of ZnS Valence Band by XPS
Luminescence Characteristics of Tb Doped Yttrium Oxysulphide Films Deposited by Spray Pyrolysis
Molecular Beam Epitaxy of Electroluminescent Phosphors
MI-ThM
Photoemission Studies on the k-Space Origin of the Long Period Oscillation in Fe/Cr Multilayers
Density Functional Studies of Mixed Fe-Cr Interfaces
Exchange Coupling Across Iron Silicides in Fe/Si Multilayers
A Manganite-Cuprate Superlattice: Study of Magnetic Coupling
Magnetic and Superconducting Properties of Ferromagnetic/Superconducting Multilayers: Ni/Nb
Ferromagnetic/Superconducting Multilayers: Fe\sub 4\N/NbN
Surface and Interface Issues in Magnetoelectronic Devices
Size Effects in Submicrometer Spin Valve Magnetoresistive Random Access Memory Devices
Patterning of Cu, Co, Fe and Ag for Magnetic Nanostructures
MS+
Microcontamination Analysis in the Semiconductor Manufacturing Environment
Application of Spectral Analysis on Atomic Force Microscopy (AFM) Images: A Study on Si (100) Surfaces
New Models and Methods for Analysis of Particles and Defects
Particle Evaluation in the Tungsten Etch Back Process
Practical Limits of EDS in Semiconductor Thin Film Analysis
Defect-induced Redeposition in Target Sputtering
Imaging ESCA: Can You Analyze What You Can't See?
Secondary Ion Mass Spectrometry of a Copper Polyimide Thin Film Packaging Technology
NS-ThM
The Fabrication of Nanostructured Organic Materials by Means of a Chemically Modified Template
Novel Chemical Approaches to Pattern Transfer using Self-assembled Monolayer Resists
Sulfone Containing Self-assembled Monolayers as Electron Beam Resists
Electron Beam Profiles and Limits to Nanolithography with the STM
Nanolithography with Electrons
Nanofabrication using Selective Thermal Desorption of SiO\sub 2\/Si Induced by Electron Beams
Energy Distribution Measurement of the e-beam from the Electron Beam Microcolumn Aligned by STM
Nanometrology and the Molecular Measuring Machine
Measuring a Focused Ga-ion Beam Profile using an Etch-stop Process and Atomic Force Microscopy
High Precision Calibration of a Scanning Probe Microscope (SPM) for Manufacturing Applications
PS-ThM
Negative Ion Assisted Etching of Silicon with Low Energy
Analysis of a High Throughput, Reliable, WSi/poly Gate Etch System with Proven 0.25 \mu\m \+-\ 0.025 \mu\m 3-sigma CD Control and High WSi:poly Selectivity
Optical Diagnostics of Inductively Coupled Plasmas: Experiment and Simulation
Atomic Scale Simulation of Ion-Enhanced Etching: From Picoseconds to Minutes
Optical Investigation of the Etching of Si and Ge in an Inductively Coupled Cl\sub 2\/Ar Plasma
Spatial Emission Profile and Neutral Density Depletion in an Electron Cyclotron Resonance Plasma
Ion and Neutral Temperatures in Inductively Coupled Plasma Etching Reactors
The Influence of Different Mechanisms on the Angular Dependence during Dry Etching
Energy and Angle Distributions of Reflected Ions and Sputtered Species within Microfeatures in Plasma Processing
Effects of NO Addition on the Chemical Downstream Etching of Silicon Nitride and Tungsten
Ashing of Photoresist with a Plasma-based Source of Hyperthermal Neutral Atoms
PS+
Plasma Processing in Medical Device Manufacturing
Plasma Treatment of Polymers: The Effects of Energy Transfer from an Argon Plasma on the Surface Chemistries of Polystyrene, Low Density Polyethyene, Polypropylene and Polyethylene Terephthalate
Novel CVD of "Teflon-like" Insulating Biomaterials
RF Inductively Coupled Plasma with Internal Antenna for Deposition of HMDSO-based Barrier Coatings
Alkyl Ketenes as Gas-Phase Precursors of Photoluminescence Chromophores in Plasma Polymerized Films
Plasma Chemistry in Methane rf Glow Discharges
Fast Deposition of a-C:H: Increasing Quality with Increasing Growth Rate
SS-ThM
Density Functional Theory of Chemical-Reaction Dynamics
A Monte-Carlo Approach to the Oxidation of CO on Platinum
Chemisorption of Co on the Ir(111) Surface: Adsorption and Desorption Kinetics Measured with In Situ Vibrational Spectroscopy
Theoretical Study of the Catalytic Oxidation of CO at Ru(0001)
Mechanism For CO Oxidation on Ru(001)
Oxygen and Carbon Monoxide on Rh(110): Adsorption, Desorption and Reaction Kinetics by Real Time X-ray Photoemission Spectroscopy
Cyanide Intermediates in Catalytic Reduction of NO by C\sub 2\H\sub 4\ on Rhodium (111)
The Dissociative Chemisorption of Nitrogen on Iron(111) at Elevated Pressures
Surface Studies of Model Supported Catalysts: Rh/CeO\sub 2\(100)
IRAS Studies of Monometallic and Bimetallic Pd, Cu, and Au Catalysts Supported on Al\sub 2\O\sub 3\ Thin Films
SS+
Unexpected Structure and Properties of \omega\-substituted Thiol Monolayers on Gold
Surface Characterization of Terminally Functionalized Alkanethiol Self-assembled Monolayers and Mixtures
The Surface Structure of Self-Assembled Fluorinated Thiolate Monolayers
Scanning Tunneling Microscopy and Tunneling Spectroscopy of Self-assembled Monolayers of Polyoxometalates
The Interfacial Structure of Self-assembled Alkanethiol Monolayers in Equilibrium with Water at Ambient Temperature
Temperature-Programmed Desorption of Chemical Probes from Self- Assembled Monolayers
A Combined SFG and NEXAFS Determination of Molecular Orientation of a Self-assembled Monolayer
Compositional Imaging of Patterned Self-assembled Monolayers using Scanning Probe Microscopies: Effects of Chain-Length, Packing, and Density
Structural Correspondence between Layered, Crystalline Silver Alkanethiolates and Correspondent Self-assembled Monolayers
TOF-SIMS Investigation of Molecular Overlayers: Thiols on Gold
SS+
Magic Size Effects for Heteroepitaxial Island Diffusion
Influences of Cluster Mobility on Coarsening of Adatom and Vacancy Clusters
Formation, Diffusion, & Coarsening Processes for Ag Clusters on Ag(100)
Selective Deposition of Al on H/Si(100) Surfaces
A Helium Atom Scattering Study of the Structure and Dynamics of Epitaxial Thin Films of Lead Adsorbed on Cu(111)
Charge Limited Cluster Growth from Deposition and Segregation
Kinetics of Mound Formation in Epitaxial Growth
STM Evidence for the Step Density Interpretation of RHEED Intensity Oscillations in GaAs(111)A and (110) Homoepitaxy
Giant Interfacial Stress in Metal on Metal Heteroepitaxy
The Effect of Substrate Misorientation on Nucleation and Strain Relaxation for Epitaxially Grown CaF\sub 2\ on Si(111) Substrates
TF-ThM
Microstructure Control in Semiconductor Metallization
Copper Diffusion into Aluminum Metallizations Determined by Auger Electron Spectroscopy Elemental Imaging
Copper Deposition into Contact Holes using Self-sustained Magnetron Sputtering
Growth and Carbon Incorporation Chemistry of Dimethylaluminum Hydride
Interfacial Reaction Pathways and Kinetics in Polycrystalline Al/W and Al/TiW Bilayers
Crystallographic Texture of TiSi\sub 2\ as a Function of Deep Submicron Structure Geometry and Processing Conditions
Development of Microstructure in Titanium Silicide CVD
Thin Film Markers for Monitoring Vacancy Diffusion Outside the Growth Zone during Alloy Phase Formation
VM+
Properties of TiN/Pd Multilayer and Alloy Thin Films
Deposition and Characterization of TiB\sub 2\ and Ti-B-N Films
Technology Update on Hard Coatings for Cutting Tools
Influence of rf Substrate Bias on the Properties of CN\sub x\ Films Prepared by Reactive Magnetron Sputtering
Ion Beam Induced Surface Graphitization of CVD Diamond for X-ray Beam Position Monitor Applications
Determination of the Direction of Stress in a Polycrystalline Diamond Film using Polarized Raman Spectroscopy
Evidence of Aging Effects in Sputtered ZrN Films from Positron Annihilation
Properties of ZrO\sub 2\ Films on Sapphire Prepared by ECR Oxygen Plasma Assisted Deposition
Growth of Stoichiometric Al\sub 2\O\sub 3\ Thin Films by Controlably-Unbalanced Magnetron Sputtering of a Non-oxidized Al Target in Ar/O\sub 2\ Gas Mixture
Sessions | Time Periods | Topics | Schedule Overview