ALD/ALE 2025 Session AM-MoP: ALD for Manufacturing Poster Session

Monday, June 23, 2025 5:45 PM in Room Event Hall
Monday Evening

Session Abstract Book
(390 KB, Mar 13, 2025)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD/ALE 2025 Schedule

AM-MoP-1 Low-Temperature Atomic Layer Deposition of Silicon Nitride Films Using Space-Division Equipment
Jae-Min Park, Taeho Jeon, Sung-Eun Lee, Hojin Nam, Hyeong Wook Kim, Hyunsik Hwang, Changhee Han, Heonhyeong Lim, Sangjoon Park (WONIK IPS Co., Ltd.)
Silicon nitride (SiN) films have been widely applied in microelectronics, optoelectronics and other fields due to their excellent dielectric properties, chemical inertness and mechanical strength. The increasing demand for low-temperature SiN deposition is driven by the need for reduced thermal budgets in advanced device fabrication. Atomic layer deposition (ALD) offers precise thin film deposition with excellent film quality and uniformity, even at low temperatures. This study investigates low-temperature SiN ALD using CORBIT NITRAD, a space-division equipment capable of rotation and revolution that uses a microwave plasma source. We designed multi-step plasma enhanced atomic layer deposition process using CORBIT NITRAD. The sequence of the multi-step PEALD process consists of the Dichlorosilane feeding step, the H2/Ar plasma step, the NH3/Ar plasma step, Thermal NH3 step and N2/Ar plasma step. The H radicals in H2 plasma and NH3 plasma efficiently remove the ligands from the precursor, and the N2 plasma removes the surface hydrogen atoms to activate the adsorption of the precursor. This multi-step PEALD approach is a major advantage of space-division ALD system, allowing the deposition of highly uniform, high quality SiN films at low temperatures while maintaining high throughput. The SiN film deposited at 430°C showed a step coverage of about 98% and a growth rate of ~0.7 Å/cycle, and showed excellent wet etching resistance especially in the low sidewall region.
AM-MoP-2 Assessing the Potential of Non-Pyrophoriczn(Dmp)2 for the Fast Deposition of ZnO Functionalcoatings by Spatial Atomic Layer Deposition
David Muñoz-Rojas (CNRS, France); Liam Johnston (LMGP); Jorit Obenlüneschloß (RUB); Anjana Devi (IFW, Dresden); Daniel Bellet (Grenoble INP)

Spatial atomic layer deposition (SALD) is a promising thin film deposition technique that enables fast, large-scale deposition and nanoscale thickness control by utilizing spatially separated precursor vapors and a substrate-specimen relative motion, while being feasible in atmospheric pressure conditions. This study explores the use of a non-pyrophoric precursor, Zn(DMP)2, in open-air SALD to produce ZnO, and compares the SALD processing speed, and thin film properties, as well as the environmental impact of using this precursor versus the more conventional diethylzinc (DEZ), whose pyrophoricity discourages open-air processing. For this purpose, a life cycle analysis (LCA) study was carried out. Our investigation shows that Zn(DMP)2 open-air SALD can yield ZnO films faster than conventional ALD using DEZ, producing high purity ZnO films with a growth per cycle of 0.7 Å at 180 °C, which corresponds to 184 Å min−1 maximal growth rate. Emphasizing practical applications, the conformality of the ZnO coating produced around silver nanowire (AgNW) networks by Zn(DMP)2 open-air SALD and the functionality of these protective coatings has also been demonstrated. The resulting transparent conductive nanocomposites had a substantially improved durability on par with their DEZ-synthesized counterparts.

reference

Assessing the Potential of Non-pyrophoric Zn(DMP)2 for the Fast Deposition of ZnO Functional Coatings by Spatial Atomic Layer Deposition

Liam Johnston, Jorit Obenlüneschloß, Muhammad Farooq Khan Niazi, Matthieu Weber, Clément Lausecker, Laetitia Rapenne, Hervé Roussel, Camilo Velasquez Sanchez, Daniel Bellet, Anjana Devi, David Muñoz-Rojas*.

RSC Applied Interfaces, 2024, 1, 1371-1381

AM-MoP-3 A Novel Microwave ECR Plasma System for Damage-Free PEALD
Paul Dreher, Dominik Hartmann (Evatec AG); Julian Pilz (Silicon Austria Labs); Jörg Patscheider (Evatec AG)

While most materials will not suffer radiation damage at ion energies below ~20 eV, some crucial compounds do show deterioration already at lower energies. Examples for ion irradiation-sensitive materials are many group III nitrides such as GaN, InN etc., but also sputter-sensitive oxides, e.g. ITO, MoO3 and other transition metal oxides, as well as sulfides like MoS2 and other 2D materials [1] [2]. Microwave-excited plasmas can reach these favorable conditions due to low sheath voltages. Such a microwave-excited electron cyclotron resonance (ECR) plasma has been successfully integrated into a novel plasma-enhanced atomic layer deposition (PEALD) system. In this study, we investigate the electronic and structural properties of the produced Al2O3 and AlN films in-situ as well as ex-situ with Ellipsometry, AFM, FIB-SEM, XRR and XPS.

In-situ diagnostics, including optical emission spectroscopy (OES), residual gas analysis (RGA) and retarding field energy analysis (RFEA) were employed to study the deposition processes of Al2O3 and AlN films. These studies provided central information on precursor decomposition and reaction kinetics during the different process steps, which can be used to optimize the materials properties. At 250°C a growth-per-cycle (GPC) of 1.3 Å/cycle was achieved for alumina films, with thickness non-uniformity below 0.5% on 200 mm silicon wafers (see Fig. 1). The 60 nm thick alumina films have a refractive index of 1.65 at 633 nm (see Fig. 2). XPS measurements showed carbon contents below 1 atomic percent.

In further investigations the influence of substrate biasing with RF power and its influence on the materials roughness and density, as measured with AFM and XRR, were studied. The ion energies and flux were monitored with an RFEA system during the process. As the ion energy in microwave discharges is typically small, the energy range can be modified from a few eV without RF power up to >200 eV ion energy using an RF bias.

The findings show that microwave ECR plasma is indeed a versatile type of plasma source, which can be beneficial for high quality PEALD processes to deposit for damage-free films. The possibility to combine this new PEALD module in an Evatec cluster system with separate modules for PECVD, sputter deposition and etching opens up new paths to investigate and develop innovative processes and devices.

Bibliography

[1] D. R. Boris et al., J. Vac. Sci. Technol. A 38, 040801, 2020

[2] T. Faraz et al., Plasma Sources Sci. Technol. 28, 024002, 2019

View Supplemental Document (pdf)
AM-MoP-4 Optimization of the showerhead for Atomic Layer Deposition by Computational Fluid Dynamics
Seungheon Lee, Dongkun Song, Gyeongwon Min, Doyoung Jung, Jungeon Park, Jeongmin Han, Dahye Geum, Hyeondo Han, Seungwan Bae, Hyoeun Lee, Guyoung Cho (Dankook University)

Atomic Layer Deposition (ALD) is widely used in many fields that require high-quality thin films, such as semiconductor and display. These industries also need precise controlled thin film thickness. The ALD generally satisfies these requirements – defect free thin film, impurity free thin film, superior uniformity, angstrom level thickness control and such on. However, the ALD process generally has the disadvantage of slow process speeds and difficulty in controlling the proper process conditions. The superior uniformity and the high-quality thin films which are powerful strong points of ALD are significantly related with the optimized shape of process chamber.

In this study, we focused on improving the uniformity of the deposition by modifying the flow distribution inside the process chamber. Computational fluid dynamics (CFD) was carried out at a fixed working pressure of 1 Torr and a fixed temperature of 250℃. Gas flows inside the reactor were assumed as the continuum flow during all process steps. Simulations were performed for various showerheads to obtain optimized internal flow distributions. And the optimized showerhead geometry was selected using an approximation method in the commercial program.

For each flow direction obtained, the deposition on Al2O3 was simulated. All simulations were performed under same conditions to check uniformities of Al2O3 thin films.The result allowed us to determine which direction of flow should be changed to improve the uniformity of the thin film.In addition, the distribution of flow and chemical species along with the direction were investigated to confirm the influence of each distribution on the deposition.

Acknowledgements

This work was supported by the National Research Foundation of Korea(NRF) grant funded by the Korea government(MSIT). (No. RS-2023-00213741). This work was supported by Korea Institute for Advancement of Technology(KIAAT) grant funded by the Korea Government(MOTIE) (P0017120, The Competency Development Program for Industry Specialist). This work was supported by the Technology Innovation Program (20025646, Development of fault detection and performance improvement technology for intelligent atomic layer deposition process equipment) funded By the Ministry of Trade, Industry & Energy(MOTIE, Korea). This research was supported by Basic Science Research Program through the National Research Foundation of Korea(NRF) funded by the Ministry of Education (RS-2024-00394327)

AM-MoP-5 Very High Frequency Plasma-Enhanced ALD: System Configuration and Thin Film Property Analysis
Jae Yeon Han, Hyung Min Kim, Da Eun Bae, Jae Ho Choi, Jae Hack Jeong (CN1 Co., Ltd)

The atomic layer deposition (ALD) process is required in semiconductor manufacturing due to its advantages, such as high step coverage, atomic-level thickness control, and uniform film deposition. Additionally, a high temperature (>400°C) process is required for high-quality properties when the thermal ALD is used for the deposition of nitride films such as silicon nitride (SiNx), aluminum nitride (AlN), titanium nitride (TiN), and tantalum nitride (TaN), leading to active development of the plasma-enhanced ALD (PE-ALD) processes.

However, depositing thin films at high temperatures can cause damage to the substrate. To solve this problem, a technology is needed that can maintain the quality of thin films while reducing damage to the substrate at low temperature. Currently, extensive research is being conducted on very high frequency (VHF) plasma as a method to mitigate damage to the substrate. VHF plasma shows significantly higher plasma density and lower substrate damage at the same plasma power as radio frequency (RF)

We developed a PE-ALD system capable of uniformly applying VHF plasma and analyzed the characteristics of thin films according to plasma frequency. A multi-contact matcher system was applied to the VHF plasma PE-ALD system, enabling the application of plasma from RF to VHF. Additionally, a B-matcher system was implemented in the VHF plasma PE-ALD system to maintain process reproducibility, as shown in Fig. 1. The silicon nitride (SiNx) was deposited using VHF PE-ALD process shown in Fig. 2 at low temperatures (≤200°C) and varying the plasma frequency according to the B-matcher position. Thickness and refractive index were measured using ellipsometry. Impurity content was measured through X-ray photoelectron spectroscopy (XPS) depth profiling. Thin film density and interface roughness were measured by X-ray reflectivity (XRR).


Acknowledgments

This work was supported by the Core Technology Development on PIM AI Semiconductor (R&D) (Equipment Development for SiN Deposition with Plasma Source for MTJ Capping Layer, RS-2022-00143986) funded By the Ministry of Trade, Industry & Energy (MOTIE, Korea).

This work was supported by the Korea Institute of Energy Technology Evaluation and Planning(KETEP) and the Ministry of Trade, Industry & Energy(MOTIE) of the Republic of Korea (All-inorganic thermally deposited perovskite solar cells and advanced materials, RS-2023-00236664).

References

[1] Materials 9, 1007 (2016)

[2] ACS Appl Mater Interfaces, 10(10), 9155-9163 (2018).

[3] Applied Surface Science, 387, 109-117 (2016)


View Supplemental Document (pdf)
AM-MoP-6 Pneumatic Optimization Utilizing Predictive Analytics Within Embedded Systems for Dose Control of Fast Pulsing Valves.
Frank Horvat (Swagelok Company)
Precision valve timing is a necessary need for proper chemical dosing within the Atomic Layer Deposition (ALD) process. The affect of dosing has a direct influence on the consistency and overall wafer yield in high volume manufacturing. There are various elements within a pneumatic system which if not properly addressed can affect the overall actuation time of a process valve, therefore directly influencing chemical dosing. Investigation into identifying these various elements within a pneumatic system and its overall influence on valve timing is performed. Additionally, research utilizing time based predictive algorithms were employed to specifically designed embedded hardware to allow for the mitigation of these pneumatic inefficiencies. The adaption of an embedded architecture has allowed for seamless integration within pneumatic systems. The use of real time, process information from valves has allowed for improved valve timing, valve to valve repeatability and hence more control of chemical dosing.
AM-MoP-7 Fast Deposition of High-Quality ALD Materials Using the PlasmaPro ASP System
Yi Shu, Arpita Saha, Dmytro Besprozvannyy Besprozvannyy, Michael Powell Powell, Agnieszka Kurek (Oxford Instruments Plasma Technology); Harm Knoops (Oxford Instruments Plasma Technology, UK, Eindhoven University of Technology, Netherland)

With the fast evolution of device design and fabrication, the ability of manipulating materials and layers at atomic scale has become more important.1 Due to its ability to deposit high-quality materials layer-by-layer, Atomic Layer Deposition (ALD) has been started to utilise in novel fabrications for the latest applications including CMOS gates,2 SiC Power,3 GaN RF and microLEDs5. One of the main challenges in integrating ALD processes with these applications is the relatively high cost of development time due to the slow growth rates and long cycle times. This limits R&D cycles to focusing on ALD chemistries that deliver high growth rates or can be thin (<10 nm) for the application, as the time required to deposit the material becomes a significant bottleneck to device development.

To enable ALD techniques for a wider range of applications, it is fundamental to deposit ALD layers at a higher dep rate, whilst maintaining the desired high-quality of the deposited materials. To this end, we have designed an ALD platform, PlasmaPro ASP (PPASP), for research and development customers. The novel remote capacitively coupled plasma (CCP) source and compact chamber design enable fast deposition rates for a variety of ALD chemistries, whilst maintaining control over plasma conditions to deliver low damage.6,7

Here, we show how the PPASP can deposit dielectric oxides and nitrides films with significant improvements in deposition rates, whilst maintaining excellent material properties and conformality. We also illustrate the ability to run super-cycles for doping/ternary material deposition. These improvements can therefore enable fast development of ALD processes for devices by rapid comparisons of different recipe conditions, which would open an entire new space for ALD exploration to realise the ambition of utilising ALD across a wider range of devices and research space.

References:

  1. Fang, et al., International Journal of Extreme Manufacturing 1, no. 1 (2019): 012001.
  2. Zhao, et al., Applied Sciences 9, no. 11 (2019): 2388.
  3. Galizia, et al., Materials Science in Semiconductor Processing 174 (2024): 108244.
  4. Deshpande, et al., Journal of Electronic Materials (2024): 1-21.
  5. Yeh, et al., Nanoscale Research Letters 16 (2021): 1-14.
  6. Canto, et al., Advanced Materials Technologies 6, no. 11 (2021): 2100489.
  7. Knoops, et al., Journal of Vacuum Science & Technology A 39, no. 6 (2021).
AM-MoP-8 Non-Destructive Characterization of Alumina Film Thickness and Fractional Coverage Utilizing XPS and StrataPHI Modeling
Amy Ferryman, Norb Biderman, Kateryna Artyushkova (Physical Electronics)

Atomic layer deposition (ALD) is widely used due to its precise deposition capabilities, allowing for the creation of very thin, conformal, and high-quality films on the nanometer scale. These films are ideal for applications requiring precise barrier layers, passivation layers, or protective coatings in complex geometries, particularly in microelectronics, sensors, and medical devices. ALD deposition of alumina offers several advantages, including uniform coating on complex 3D structures, precise thickness control, high purity, and suitability for sensitive substrates due to its low deposition temperature. The self-limiting behavior of ALD cycles allows for controllable film growth in which a homogenous film can be achieved at the lowest film thickness. To control the thickness in a range of few nanometers, it is important to understand the interaction between the reactants and substrate during the nucleation period, or the first few cycles. X-ray photoelectron spectroscopy (XPS) is a well-established technique for non-destructive analysis of the chemical composition of thin layers and interfaces. By analyzing a material at varying takeoff angles between the sample and analyzer, angle dependent XPS (ADXPS) can be utilized to probe the thickness and chemical composition of thin films without creating ion beam-induced damage associated with destructive sputter profiling. The spectral results obtained by ADXPS can be furthered evaluated by StrataPHI, a software product designed by Physical Electronics, to calculate not only the thickness of thin films but also provide an estimate of fractional coverage, which is of great importance for high-throughput metrology of thin-film structures. This presentation will highlight the benefits of utilizing angle dependent XPS in combination with StrataPHI modeling software to non-destructively characterize and simultaneously calculate the layer thickness and fractional coverage of a series of aluminum oxide films deposited on glass substrates throughout the nucleation period by the ALD process.

AM-MoP-9 Optimization of Liquid Fluidization Design for Temperature Control on the Showerhead
Eunsun Jung, Tae S Cho, Eungseo Kim, Bonuk Koo (WONIK IPS)

Additive manufacturing(AM) is well-suited for creating complex functional parts that cannot be realized through traditional machining technology, and its applications have been rapidly increasing across various industries. It is expected to play a significant role in enhancing the performance of key components in semiconductor equipment. Specifically, the showerhead, which uniformly sprays process gases onto the wafer surface, requires a highly complex structure to prevent performance degradation caused by structural deformation from heat and uneven temperature. However, due to the limitations of traditional machining processes, its design is constrained.To optimize the showerhead design, we implemented the following structure. The process gas flows through a cylindrical hole inside the showerhead, while a temperature compensation channel is added to the outer wall of the cylinder. A cooling gas or liquid can flow through the multi-stage baffle from a single supply port through nozzles branched out toward the center and outward of the showerhead. For efficient cooling and heat exchange, the gap and shape of the baffles were adjusted, such as circular, elliptical, and curved baffles. The cooling channel was added only to the exact location that need to be cooled.Finite element analysis was performed using Ansys Fluent to analyze the showerhead. A reference endplate was divided into triangular meshes, resulting in an analysis model consisting of a total of 4,467,043 nodes and 25,799,364 elements. To reflect actual process conditions, 630℃ radiative heat was applied to the lower part of the endplate, and 155℃ radiative heat was applied to the upper part to set the internal surface temperature to 225℃.To analyze the effect of the cooling fluid, Solvay Galden HT 200 fluid provided by Ansys Fluent was used. The physical properties of this fluid are density 1790 kg/m³, specific heat 960 J/(kg·K), thermal conductivity 0.065 W/(m·K), and viscosity 0.0043 kg/(m·s). The inlet temperature of the cooling fluid was fixed at 175℃ through a heat exchanger, and the flow rate was changed to 10 LPM, 2 LPM, and 1 LPM, respectively, to analyze the temperature change inside the showerhead.When there was no cooling fluid (reference), the average temperature inside the showerhead was 227.1℃ with a range of about 15℃. On the other hand, when the flow was set at 10 LPM, 2 LPM, and 1 LPM, the average temperature decreased to 180.9℃, 180.7℃, and 180.8℃, respectively, with a range reduced to 1.2℃, 4.3℃, and 8.97℃. It was confirmed that the temperature uniformity improved significantly as the flow rate of the cooling fluid increased, as clearly shown in the attached figure.

AM-MoP-10 XPS Metrology for Area Selective Deposition Applications in Semiconductor Manufacturing
Kangwon Kim, Hyung Keun Yoo (Samsung Electronics); Heechang Yang, Sunho Kim (Nova Measuring Instruments Korea, Ltd.); Wei Ti Lee, Torsten Stoll (Nova Measuring Instruments, Inc.)

Propelled by the relentless miniaturization of integrated circuits, area selective deposition (ASD) process has emerged as an important enabling deposition technique in the semiconductor industry. Traditional processing methods are sometimes being hampered by shrinking design rules in 2D features, as well as the challenges of three-dimensional architectural designs. As in every deposition step in the semiconductor manufacturing process, ability to do process control is essential to maintain stability and to maximize yield. Over the past decade, through adoption in high volume manufacturing fabs across the globe, X-ray Photoelectron Spectroscopy (XPS) has established itself as a reliable metrology of choice for ultra-thin films measurements.

In this paper, we will describe the use of XPS as a versatile yet sensitive metrology technique for developing, measuring, and monitoring the ASD deposition process. Due to its specificity to elements or species of interest, and combining with its surface sensitivity, XPS is a powerful metrology for ASD thin film applications. Examples of ASD applications will be presented.

One traditional ASD process is via self-assembled monolayer (SAM), where SAM is selectively adsorbed on the nongrowth area before deposition of the desired material [1]. XPS is shown to be able measure the selectivity of SAM and its effectiveness to enable a defect-free ASD process. Selectivity of SAM is also evaluated as a function of linewidth. Another example is the selective deposition process aiming for a bottom-up growth in trenches or vias. XPS is demonstrated to measure thicknesses of selectively deposited material at the bottom via. Excellent repeatability and consistency of XPS ASD thin film measurements on a full 300mm wafer will also be presented.

[1] H. Kawasaki et al., "Advanced Damascene integration using selective deposition of barrier metal with Self Assemble Monolayer," 2021 IEEE International Interconnect Technology Conference (IITC), Kyoto, Japan, 2021, pp. 1-3,

AM-MoP-12 A Remote Plasma Spectroscopy Diagnostic for Monitoring of Atomic Layer Deposition Processes
Marcus Law (Gencoa Ltd.)

Effective and robust monitoring of individual gas concentrations during ALD processes offer a unique insight into the condition of the process. Analysis of the gaseous environment can be used to assess reaction saturation and help to quickly establish optimum cycle and purge times. In addition, precursor delivery can be monitored and quantification of vacuum quality in terms of leaks and contamination is imperative to achieve optimum and repeatable results.

Conventional quadrupole residual gas analysers have difficulty monitoring ALD processes due to the high process pressures and the presence of contaminating hydrocarbons contained within many ALD precursors. In this work, a compact remote plasma optical emission spectroscopy (RPOES) gas sensor that operates over a wide pressure range (0.5 – 1 E-7 mbar) without filaments or the need for differential pumping was employed, providing robust, fast measurement of gaseous species.

In this contribution, we report on the real-time monitoring of by-product release and precursor consumption determined using this method. Examples of this sensing technique’s practical uses for ALD processes are discussed; this includes detection of contaminants, optimising purge cycle length and monitoring the reaction dynamics in terms of precursor gas intake. Furthermore, the use of RPOES for measurement of vacuum quality and leak detection prior to process start is discussed in combination with analysis of ALD reaction dynamics and optimisation and control of the full ALD cycle.

AM-MoP-13 Early Detection of Process Window Shifts in ALD processes by PillarHall Lateral High Aspect Ratio Test structures
Jani Karttunen (Chipmetrics Oy); Anish Philip (Aalto University); Jussi Kinnunen, Kalle Eskelinen, Feng Gao, Mikko Utriainen (Chipmetrics Oy)

The increasing complexity of 3D DRAM and 3D NAND demands precise control over atomic layer deposition (ALD) to ensure high yield and reliability. Ultra-high aspect ratio (AR >100) structures pose challenges for thin film conformality, making early detection of process shifts crucial. ALD tool qualification is particularly complex for ultra-thin dielectric films, widely used in 3D memory channel holes, where process deviations are difficult to detect using blanket monitor wafers, requiring more sensitive qualification methods.

This study evaluates whether the PillarHall® Lateral High Aspect Ratio (LHAR) test chip can serve as a high-sensitivity ALD monitoring tool, capable of detecting precursor decomposition, temperature drift, pressure fluctuations, and other process instabilities before they impact device production.

ALD process evaluations were conducted using PillarHall® LHAR5 test chips (Chipmetrics) with 500 nm and 100 nm gap heights, enabling analysis of cavity aspect ratios >1000. TiO₂ was deposited using titanium isopropoxide (TTIP) and water, while Al₂O₃ was grown from trimethylaluminum (TMA) with water and ozone. The LHAR method provides film penetration depth profiles, offering direct insight into step coverage and deposition behavior across ultra-high aspect ratio cavities.

To evaluate industrial applicability, LHAR test structures were integrated into FEOL-compatible pocket wafers, allowing wafer-level ALD tool qualification and comparison across different reactor systems.

Our results demonstrate that LHAR test structures effectively detect process deviations across multiple ALD chemistries and tool configurations, proving invaluable for process development, optimization, and industrial tool qualification. Ultra-thin dielectric films in HAR structures require advanced qualification methodologies, as blanket wafers fail to capture critical process shifts.

By integrating LHAR test chips into ALD qualification workflows, fabs and tool manufacturers can benchmark ALD reactors, enhance process control, and accelerate tool qualification, ensuring optimized ALD performance for next-generation semiconductor architectures.
AM-MoP-14 Optical Monitoring of MoCl5 and MoOCl4 Vapor Delivery for Atomic Layer Deposition Applications
Berc Kalanyan, James Maslar (NIST-Gaithersburg)

Ultrathin Mo is a candidate material for interconnects in advanced logic and gate metallization in flash memory applications. Hydrogen reduction of molybdenum pentachloride (MoCl5) is one of several ALD routes for preparing metallic Mo films1. While MoCl5 is an attractive precursor, it presents challenges for manufacturing due to its low volatility, its tendency to form oxychlorides, and self-etching3. Solids are especially problematic for high-volume manufacturing because their delivery characteristics can depend on vessel design, operating conditions, and packaging. Further, volatile oxychlorides are also precursors for film deposition2. Therefore, a detailed understanding of MoCl5 delivery and subsequent deposition behavior requires quantitative metrology to measure the partial pressures and flow rates of MoCl5 and reactive impurities such as MoOCl4.

To address this need, we have demonstrated direct absorption measurements in the visible and UV wavelengths to monitor the partial pressures and delivery rates of MoCl5 and MoOCl4 under ALD conditions. Using spectral signatures4 of MoCl5 and MoOCl4, we designed high-speed in-line gas analyzers to simultaneously detect both species during flow. Calculations using the spectral response of the analyzers show detection limits of 0.35 Pa and 0.90 Pa for MoCl5 and MoOCl4, respectively5. However, spectral overlap between MoCl5 and MoOCl4 in the UV wavelengths makes quantitative determination of each species difficult. We have recently expanded upon this work by independently measuring MoOCl4 species using a non-dispersive IR analyzer installed on the ALD chamber. In addition to the gas analyzers, the measurement system also incorporates a high-speed UV-vis spectrometer to monitor gas phase spectral changes over time.

This presentation will discuss precursor delivery and composition data obtained from both non-dispersive and spectroscopic measurements performed during MoCl5 injections. We will show that it is possible to simultaneously obtain high-speed quantitative measurements of MoCl5 and MoOCl4 partial pressures and flow rates. We will compare the sensitivity and selectivity of different analyzer designs toward MoCl5 and MoOCl4. Further, we will apply the measurements to characterize MoCl5 delivery and MoOCl4 generation from a small diameter 300 mL vessel and a wider 1.2 L vessel suitable for HVM.

1S.-W. Lee, et al, in AVS 20th Int. Conf. At. Layer Depos., (Virtual, 2020).

2B.-J. Lee, et al, Coatings 13(6), 1070 (2023).

3M. Juppo, et al, J. Vac. Sci. Technol. A 16(5), 2845–2850 (1998).

4B. Kalanyan, et al, J. Phys. Chem. A 128(1), 118–128 (2023).

5J.E. Maslar, et al, Appl. Spectrosc., 00037028241268260 (2024).

AM-MoP-15 Process Monitoring via Time-of-Flight Mass Spectrometry based on Isotopic Patterns
Hye-Young Kim, Sung Kyu Jang, Seul-Gi Kim, Yoonjeong Shin, Jong Hyun Choi, Hyeongkeun Kim (Korea Electronics Technology Institute)

Although Time-of-Flight Mass Spectrometry (ToF-MS) is widely used to monitor semiconductor processes such as Atomic Layer Deposition (ALD) and Etching (ALE) in real time, there always remains uncertainty in naming byproducts and their quantities due to a number of candidate chemical compounds with the same masses. This, accordingly, leads to the difficulty in making use of resultant mass spectra for practical applications such as fault detection and classification.

To ensure reliability of ToF-MS analysis, we have devised a method based on isotopic patterns, which mainly consists of the following two steps: constructing basis matrices given stable isotopes and their relative abundances, and finding a non-negative weight vector associated with each basis matrix by solving a Non-Negative Least Squares (NNLS) problem.

To be concrete, at first, basis matrices are roughly formulated by listing chemical elements expected to appear during processes provided materials in use together with process parameters and performing convolution of the distributions of their isotopes. A following filtering, which excludes unreasonable combinations of atoms and singles out representative patterns of atoms, increases confidence in the matrices.

At the next step, an original MS data is split into time intervals to closely track the dynamics of elements in consideration. By solving a series of corresponding NNLS problems, which take the basis matrices and the mass spectra split into time intervals as input, weight vectors at each interval are obtained. It stands to reason that these vectors would coincide with relative composition ratios of chemical compounds and therefore act as indicators to determine process abnormality. The weight vectors are then optimized by taking their statistical properties into account and solving a set of modified NNLS problems. Here, in order to alleviate high computational demands of dealing with NNLS problems, distributed GPU computing is adopted.

In conclusion, this analysis method for ToF-MS based on isotopic patterns opens up a new and reliable way to deal with ToF-MS data and to monitor semiconductor processes. It is, furthermore, expected to facilitate application of ToF-MS to practical purposes to detect process abnormality or to optimize processes.

Session Abstract Book
(390 KB, Mar 13, 2025)
Time Period MoP Sessions | Topic AM Sessions | Time Periods | Topics | ALD/ALE 2025 Schedule