ALD/ALE 2025 Session ALE-MoP: Atomic Layer Etching Poster Session
Session Abstract Book
(360 KB, Mar 13, 2025)
Time Period MoP Sessions
| Topic ALE Sessions
| Time Periods
| Topics
| ALD/ALE 2025 Schedule
ALE-MoP-1 Study on High-Selectivity Atomic Layer Etching (ALE) of SiO2/Si3N4 Using Ar/C4F6Plasma
Jinwoo Choi (Deajeon University); ByeongHo Song, Jeongwoon Bae (Daejeon University) In the current semiconductor industry, the circuits being manufactured have reduced critical dimensions to a few nanometers, and the thickness of the materials requiring etching has also become exceedingly thin, increasing the necessity for highly precise plasma processes. Additionally, to etch materials with low plasma resistance, such as low-k materials, it is essential to conduct etching processes that do not damage the material's surface or sidewalls. This necessity has brought attention to Atomic Layer Etching (ALE) processes as a promising alternative. ALE is emerging as the next-generation etching technology because it allows for damage-free etching of surfaces and sidewalls and enables nano-scale control over etching depth. In ALE processes, research is being conducted using PFCs (Perfluorocarbons) gases like C4F8 that can form an appropriate polymer layer to protect the sidewalls. However, most PFC gases, including C4F8, have extremely high Global Warming Potentials (GWP) ranging from thousands to tens of thousands, which poses a disadvantage as it does not align with the global trend of reducing carbon emissions. This study explores the implementation of high-selectivity in the etching of SiO2 over Si3N4 using the ALE process, a next-generation semiconductor etching technique, and C4F6, which is known to have a GWP of less than 100 and allows for easy control of the etching selectivity due to its high C/F ratio. To achieve precise real-time control of the etching rate, an ellipsometer capable of in-situ thin film thickness measurement was employed to analyze the Etch Per Cycle (EPC) according to changes in the etching process. |
ALE-MoP-2 Improving Process Stability in Atomic Layer Etching for Next-Generation Microfabrication
Suyoung Jang, Junyeob Lee, Dohyeon Kim, Jeongwoon Bae, Taehyung Kim, Kyongnam Kim (Daejeon University); Jihyun Kim (WONIK IPS) Abstract With the increasing integration density and miniaturization of semiconductor devices, the aspect ratio of patterns has been rising, emphasizing the importance of Atomic Layer Etching (ALE) technology for precise layer-by-layer control. Particularly, in physical adsorption-based ALE, the adsorption amount heavily depends on adsorption time, making it challenging to achieve accurate and stable EPC (Etch per Cycle). This study systematically analyzes the impact of variations in physical adsorption amounts on EPC in ALE processes. To this end, a sensor capable of real-time monitoring of polymer quantities was employed to observe changes in polymer adsorption under various process conditions, and these data were compared with etching process outcomes. In particular, the study examined how changes in process variables-such as temperature, pressure, and gas composition-affect the amount of adsorbed polymers, the amount of polymer consumed during desorption processes, and unexpected variables occurring in each etching cycle. By identifying factors that influence process reliability and effectively monitoring such environments, this research proposes strategies to enhance the reliability of etching processes. This study is expected to contribute to the development of stable and precise ALE technologies for next-generation microfabrication processes. |
ALE-MoP-3 Synergy in Thermal Atomic Layer Etching: Interplay between Individual Reactions
Marcel Junige, Andrew S. Cavanagh, Steven M. George (University of Colorado Boulder) The plasma-assisted atomic layer etching (ALE) community has long recognized that an ideal ALE sequence requires the favorable interaction of its individual, separated reaction steps [Kanarik et al., JVST-A 33, 020802 (2015)]. Ideal ALE synergy is characterized by self-limiting A and B half-reactions, whereas spontaneous etch pathways may continuously remove the targeted material. This work utilized in situ spectroscopic ellipsometry (iSE) to study and extend this synergy concept to thermal ALE processes: Al2O3 ALE using alternating hydrogen fluoride (HF) and trimethylaluminum (TMA) exposures [Lee et al., Chem. Mater.28, 2994 (2016)] exhibited an EPC of 2.61 Å/cycle at 275℃, whereas HF exposures alone gave a negligible Al2O3 spontaneous etch rate of less than 0.01 Å/min. This behavior corresponded to ideal synergy of 99.6%. Si ALE using an oxygen (O2)/HF/TMA exposure sequence at 290℃ has displayed complex behavior because of the interplay between three individual reactions. Si ALE has exhibited a controlled, linear EPC of ~0.4 Å/cycle [Abdulagatov & George, Chem. Mater. 30, 8465 (2018)]. However, recent iSE and temperature-programmed quadrupole mass spectrometry experiments discovered that HF alone spontaneously etched Si at temperatures above 150℃. HF exposures caused a major Si spontaneous etch rate of 16.3 Å/min at 275℃ [Junige et al., AVS 70, AP1+EM+PS+TF-TuM-3 (AVS: 2024)]. This Si spontaneous etching by HF could predominate Si ALE. But critically, the oxidation step during Si ALE formed a SiO2 layer on the Si surface. Consequently, the synergy for Si ALE was determined by the near-ideal SiO2 ALE synergy. The synergy definition needs to be modified here to account for the effect of each reaction in the A/B/C sequence. |
ALE-MoP-4 Atomic Layer Etching of ZrO2, HfO2 and HfZrO4 Thin Films via Metal-Free Ligand Exchange using Hydrogen Fluoride and Acetylacetone
Kyoung-Mun Kim, Joo-Yong Kim (Merck KGaA, Darmstadt) Atomic Layer Etching (ALE) is a useful method for fabricating thin, crystalline films, especially high-dielectric materials. Gibbs free energy calculations were performed to identify suitable ALE precursors. Acetylacetone was selected as a promising candidate, as calculations indicated its potential to etch both ZrO2 and HfO2. ZrO2, HfO2, and HfZrO4 films were etched at 250°C using a metal-free precursor via ALE, and their properties were compared. Crystalline ZrO2 films were successfully etched without altering crystallinity or surface morphology (RMS roughness < 0.7 nm), consistent with the calculated Gibbs free energy (-14.19 kcal/mol). The metal-free precursor ensured the absence of metal impurities in the etched films. However, significant fluorine residue remained, which was reduced to approximately 4% through subsequent heat treatment. Conversely, crystalline HfO2 (c-HfO2) films exhibited limited etching, despite a favorable Gibbs free energy calculation (-8.88 kcal/mol). This discrepancy was attributed to the high density and corrosion resistance of c-HfO2. To verify this hypothesis, amorphous HfO2 (a-HfO2), with lower density, was successfully etched, confirming the influence of density on the etching process. Partially crystallized HfZrO4 films underwent partial etching, with amorphous regions being etched while crystalline regions remained unaffected. In conclusion, this study demonstrates a strong correlation between Gibbs free energy calculations and the feasibility of ALE for high-k dielectric materials. Additionally, the influence of factors such as crystallinity, density, and chemical resistance on the etching process has been elucidated. These findings provide valuable insights for optimizing ALE processes and achieving precise control over the thickness and properties of high-k dielectric films. |
ALE-MoP-5 Isotropic Atomic Layer Etching of Crystalline HfO2 Thin Films Using F Radical and Al(CH3)2Cl
Jehwan Hong, Gyejun Cho, Changgyu Kim, Hye-Lee Kim (Sejong University); Byungchul Cho, Min Su Kim, Ju Hwan Park, Min Kim (Wonik IPS); Won-Jun Lee (Sejong University) Hafnium oxide (HfO2), a high-k material, has been extensively studied for semiconductor applications. Amorphous HfO2 is commonly used as a gate dielectric in nano-CMOS devices due to its lower leakage current compared to other high-k materials. Doped crystalline HfO2, which exhibits ferroelectricity even at thicknesses below 10 nm, is promising for FeFET and FeRAM applications. However, as the film thickness decreases, the surface roughness increases and the grain size in crystalline films becomes smaller. An isotropic atomic layer etching (ALE) process can be used to etch back thicker films to form smoother, larger grain thin films without ion bombardment damage. Previous studies have demonstrated isotropic ALE of HfO2 using HF or SF4 as the fluorinating agent and Al(CH3)2Cl or TiCl4 as the removal precursor [1]. However, the etching rate of the crystalline film was significantly lower than that of the amorphous film. While the use of XeF2 as a more reactive fluorinating agent increased the etching rate, the etching rate of the crystalline film was still about half that of the amorphous films. In this work, we investigate the isotropic ALE of HfO2 using fluorine radicals combined with Al(CH3)2Cl as a removal precursor. The temperature dependence and reaction mechanisms of HfO2 ALE were analyzed using an in situ quartz crystal microbalance, comparing etching rates and post-etch properties for both amorphous and crystalline HfO2 films. At temperatures of 200°C or higher, the etching rate increased with increasing temperature. For both amorphous and crystalline films, higher etching rates were achieved than for HF, SF4, and XeF2, with no significant difference in etching rates between amorphous and crystalline films. After the ALE process, the surface roughness of amorphous thin films decreased, while crystalline films showed only a slight increase. This is attributed to the similar etching rates of grains and grain boundaries in the crystalline film. In addition, the leakage current can be improved for both amorphous and crystalline thin films by ALE etching back thicker films. References [1] J. A. Murdzek and S. M. George, J. Vac. Sci. Technol. A 38, 022608 (2020). |
ALE-MoP-6 Atomic Layer Etching of Al2O3 Film by Using Different Metal Precursor for Ligand Exchange
Chan Lee, Chang Kyu Lee, Byung Chul Cho, Ju Hwan Park, Min Kim (WONIK IPS); Misoo Kim, Khabib Khumaini, Hye-Lee Kim, Won-Jun Lee (Sejong University) The atomic layer etching (ALE) is one of the advanced technology, which allows to delicate etch on complex pattern by atomic scale control.1,2 In this study, the ALE of aluminum oxide (Al2O3) was proceeded by cyclic process composed of a surface modification by F radical, ligand exchange by metal precursor, which is trimethylaluminum (TMA) and dimethylaluminum chloride (DMAC). The Al2O3 surface is converted to AlOFx by F radical in the fluorination step, and the fluorinated layer is removed by a ligand exchange reaction with TMA and DMAC in the removal step. The etch amount of each precursor was analyzed by in-situ quartz crystal microbalance (QCM). Unlike DMAC, the etch amount of TMA decreased as cycle increased, which was caused by carbon absorption. It disturbed surface fluorination, and was confirmed by in-situ X-ray Photoelectron Spectroscopy (XPS). The ALE process was analyzed by transmission electron microscopy (TEM), atomic force microscopy (AFM), and time-of-flight secondary ion mass spectrometry (ToF-SIMS), X-ray diffraction (XRD). The crystallinity of Al2O3 film maintained after ALE process, which is totally different with same thickness as-depo film. Isotropic removal of Al2O3 thin films was observed on the trench patterns with an aspect ratio of >20. Finally, thesecharacteristics can be suggested application of high-k material in advanced memory device. Reference
|
ALE-MoP-7 Fabrication of Ultrathin Ruthenium Films via a Top-Down Approach Using Thermal Atomic Layer Etching
Eun Ji Ju, Jae Hyeon Lee (Seoul National University of Science and Technology) Ruthenium (Ru) is considered a promising material for next-generation interconnects due to its low electron mean free path (EMFP) of 6.6 nm and bulk resistivity of 7.1 μΩ·cm. However, when Ru is deposited using conventional bottom-up processes, the significant difference in surface energy between the substrate and Ru promotes island growth, leading to discontinuous fine grains and increased surface roughness, which can elevate the resistivity of ultrathin Ru films. To address this issue, it is crucial to develop methods that improve the continuity of ultrathin Ru films and enhance their electrical properties. In this study, thermal atomic layer etching (ALE) was explored to fabricate ultrathin Ru films. We investigated the etching behavior of Ru thin films, and self-limiting ALE conditions were achieved through surface modification and volatilization processes of Ru films. The effects of etching temperature and reactant gas injection time were systematically examined. To fabricate ultrathin Ru films with enlarged grains, thick (~20 nm) Ru thin films were initially grown by atomic layer deposition, followed by ALE. The continuity, electrical properties, and surface roughness of the Ru films were characterized using high-resolution field-emission scanning electron microscopy (FESEM), a four-point probe, and atomic force microscopy (AFM). By applying ALE to Ru, we successfully mitigated the degradation of electrical properties caused by discontinuities in the Ru ultrathin films, resulting in low-resistivity films. Acknowledgments This work was supported by the Technology Innovation Program(RS-2024-00509266, Development of Next-generation dielectric and electrode process equipment for logic 1nm or less and memory xnm level) funded By the Ministry of Trade Industry & Energy(MOTIE, Korea) and byKorea Institute for Advancement of Technology (KIAT) grant funded by the Korea Government (MOTIE) (RS-2024-00409639, HRD Program for Industrial Innovation |
ALE-MoP-8 Thermal Atomic Layer Etching of ZrO2 Using Chlorine-Based Precursor
Yong-won Kim (DNF Co., Ltd); jun-hee Cho, Joong-jin Park (DNF Co., Ltd.) Atomic Layer Etching (ALE) has emerged as a critical thin-film processing technology that facilitates the advancement of next-generation semiconductor technology. Research into ALE is focusing on various metal oxides, including Zirconium Dioxide (ZrO2), Hafnium Dioxide (HfO2), Titanium Dioxide (TiO2), and Aluminum Oxide (Al2O3), among others. These materials are essential for developing capacitors and other electronic components that require high dielectric constants (k value). In this study, we present the ZrO2. ZrO2 was deposited onto 8-inch silicon wafers through Thermal ALD process. The thickness of the deposited ZrO2 layer was analyzed using spectroscopic ellipsometry, ZrO2 is utilized in capacitors due to its dielectric properties. To achieve a high dielectric constant, it is essential to obtain a thin, crystalline ZrO2 film. However, when ZrO2 is deposited thinly, it tends to form an amorphous layer, which does not fulfill the performance requirements for high-k applications. To overcome this challenge, we propose depositing ZrO2 Thicker, which allows for the formation of a crystalline structure. The resultant crystalline ZrO2 film can then undergo the ALE process to achieve an etching profile that retains the desired high-k characteristics. A major problem when using fluorine-containing precursors for etching is the large amount of leftover fluorine on the surface after etching. This leftover fluorine can cause serious damage to the material. Therefore, we expect that Chlorine based Precursor will offer a good solution to this problem by lowering the risk of damage. We used a mass flow controller (MFC) to adjust the amount and timing of Chlorine based Precursor input, and through various process concepts, we are exploring the etch rate per cycle (EPC) saturation and confirming its potential. |
ALE-MoP-9 Highly Precise Atomic Layer Etching of SiO₂ with SF₆ Radicals and TMA Surface Modification
Min Kyun Sohn, Seong Hyun Lee, Jieun Kim, Sun Kyu Jung, Min-A Park, Jin Ha Kim, Jaeseoung Park, Jeong Woo Park, Dongwoo Suh (ETRI) As next-generation semiconductor devices evolve from planar architectures to three-dimensional (3D) structures such as Gate-All-Around FETs and Complementary FETs, achieving isotropic etching with atomic-level precision has become increasingly critical. SiO₂, commonly used as an insulating layer or hard mask and also serving as an interface layer in nano-sheet channel gates, requires precise etch profile control to accommodate complex 3D device geometries. To meet these demands, Thermal Atomic Layer Etching (TALE) has emerged as a promising solution, offering high selectivity, low surface damage, and atomic-scale precision, thereby fitting the requirements of advanced 3D device fabrication. However, most existing studies have employed Hydrogen Fluoride (HF)-based gases for TALE processes, raising concerns regarding corrosiveness, safety, and process control. Meanwhile, alternative fluorine-based gases generally exhibit low reactivity, making them inadequate for high-efficiency TALE. Consequently, there is a growing need for a radical-based ALE approach that retains the advantages of TALE while mitigating the issues associated with HF-based methods. In this study, we propose a radical-based ALE process replacing HF gas with sulfur hexafluoride (SF₆) radicals, generated via a remote plasma source (RPS). We deposited approximately 700 Å of SiO₂ on a silicon wafer using TEOS through low-pressure chemical vapor deposition (LPCVD). The thickness of SiO₂ was measured via ellipsometry across a 6-inch wafer. Using this SiO₂ layer, we developed an optimized ALE process utilizing trimethylaluminum (TMA) for surface modification and SF₆ radicals for fluorination at 300 °C. Under the same temperature conditions, substituting SF₆ radicals with SF₆ gas alone resulted in no measurable etch, underscoring the necessity of radical-based reactions for effective etching. Our optimized process achieved an etch-per-cycle (EPC) of approximately 0.323 Å. Additionally, X-ray photoelectron spectroscopy (XPS) analysis clarified the chemical reaction mechanisms involved in both the TMA-induced surface modification step and the subsequent SF₆ radical etching step, thereby validating the reliability and stability of our method. In conclusion, the SF₆–TMA radical-based ALE process presented here effectively preserves the core advantages of TALE while overcoming the drawbacks of HF-based approaches, satisfying the precision etching and process stability requirements crucial for 3D semiconductor manufacturing. View Supplemental Document (pdf) |
ALE-MoP-10 Plasma Atomic Layer Etching of SiO2, Si3N4, and Si by Forming Ammonium Fluorosilicate Followed by Argon Ion Bombardment
Taeseok Jung, Hyeongwu Lee, Hojin Kang, Minsung Jeon (Sungkyunkwan University (SKKU)) Plasma atomic layer etching (ALE) processes for SiO2, Si3N4, and Si were developed with surface fluorination using NF3/NH3 plasma and Ar ion bombardment. The SiO2, Si3N4, and Si surfaces were fluorinated by forming an ammonium fluorosilicate (AFS, (NH4)2SiF6) layer. The AFS layer prevented continuous etching of SiO2, Si3N4, and Si at an NF3/(NF3+NH3) gas ratio below 50 %. In this range, NHx (x = 1 to 3) radicals were detected as major peaks compared to NFx (x = 1 to 3) radicals as confirmed by quadrupole mass spectrometry (QMS).The formation oftheAFS layer was confirmed with N-H stretching (3330 cm⁻¹) and N-H bending (1454 cm⁻¹) in Fourier transform infrared (FT-IR) spectroscopy.The ALE window was observed in the range of 35 to 45 V for SiO2 and 30 to 40 V for Si3N4 and 25 to 35 V for Si. The etch per cycle (EPC) was saturated to 3.7 nm/cycle for SiO2 and 1.0 nm/cycle for Si3N4 and 0.5 nm/cycle for Si after 15 seconds of Ar plasma time at the NF3/NH3 plasma of 60 seconds. The higher EPC of SiO2 is attributed to the stronger interaction of polar NH4+ and HF components with the more polarized Si-O bond than with the Si-N and Si-Si bonds. |
ALE-MoP-11 Tailored Waveforms for Ion Energy Control in Ale Applications
Sebastian Mohr, HyungSeon Song (Quantemol.Ltd) Atomic layer etching (ALE) is increasingly used in the manufacturing of semiconductor tools as they give more control over the resulting etching profiles than traditional etching techniques. While different approaches to ALE exist, many of them employ plasmas in one or more steps of the ALE process, be it to use the neutral radicals produced in the plasma to alter the surface or the ions to remove the altered top layer [1]. For such applications, independent control of ion flux and ion energy is highly desirable. Single frequency capacitively coupled discharges (CCPs) do not offer this, as the input power affects both flux and energy. Dual frequency discharges allow this to some extent, but it is limited due to, for example, increased ionization by secondary electrons at high powers of the low frequency. Furthermore, traditional CCPs usually produce bimodal ion energy distribution functions which can cover several 10s to 100s of eV with sharp peaks at either end, so the ion energy cannot be easily limited to a small interval of energies, which is desirable especially for ALE applications, so that the ions remove the top layer of the surface but do not damage the underlying bulk [1]. An alternative approach to achieve this desired control are tailored waveforms. These can range from so-called asymmetric waveforms combining a fundamental frequency with even multiples [2] to non-sinusoidal waveforms typically consisting of sharp voltage peaks [1] followed by a relatively long interval of an almost constant voltage. While it has been demonstrated that these type of CCPs offer independent control of ion flux and energy and/or are able to limit the ion energy to narrow energy intervals, they have not yet been well studied in industrial applications. This presentation will show continued efforts to simulate industrial applications of tailored waveform CCPs using the well-established 2D plasma simulation code HPEM [3]. In these discharges, the plasma is sustained via ICP coupling, while the tailored waveforms are applied to an rf-electrode staging the wafer. Former simulations have shown the intended effect in case of blank metal electrodes. |
ALE-MoP-12 Understanding Fluorocarbon Thin Film Growth through CFₓRadical Adsorption on Amorphous Si₃N₄
Mihyeon Jo, Sangheon Lee (Ewha Womans University) Fluorocarbon thin films play a crucial role in semiconductor and display manufacturing processes, particularly in plasma-enhanced chemical vapor deposition (PECVD) and plasma etching. To gain a fundamental understanding of the thin film growth mechanism, this study investigates the adsorption behavior of CF, CF₂, and CF₃ radicals on an amorphous Si₃N₄ surface using Density Functional Theory (DFT) calculations. By comparing the adsorption energies and structural stabilities of individual radicals, we aim to elucidate the role of each species in fluorocarbon film formation. To achieve this, we modeled an amorphous Si₃N₄ surface and systematically adsorbed CF, CF₂, and CF₃ radicals to analyze the resulting surface modifications and bonding structures. Additionally, we examined the formation of C-F, C-C, C-N, and C-Si bonds, as well as changes in the electronic structure through Density of States (DOS) analysis. These investigations provide insights into the contributions of different radicals to thin film growth and help determine the conditions under which a stable fluorocarbon layer can form. This study contributes to the atomic-level understanding of fluorocarbon thin film growth mechanisms and provides fundamental knowledge that can aid in controlling radical composition in plasma processes to achieve desired thin film properties. |
ALE-MoP-13 Study of Low GWP Gas Decomposition and Fluorocarbon Film Created gas Deposition on SiO2
Minji Kim, Sangheon Lee (Ewha Woman's University) Global warming is one of the serious problems about the earth’s environment and the biggest cause of global warming is CO2 released into the atmosphere, which has increased in concentration in proportion to the development of the industry, but other greenhouse gases such as perfluorocarbons (PFCs) and hydrofluorocarbons (HFCs) have also been shown to have a much stronger greenhouse effect than carbon dioxide. Because hydrofluorocarbons (HFCs) have a high infrared absorbency and long atmospheric lifetimes. The Global Warming Potentials (GWPs) which shows the degree to which other greenhouse gases contribute to global warming based on the impact of carbon dioxide on global warming, is 1,000 to 10,000 times as high as that of CO2 (whose GWP is 1). CHF3 molecule which is the simplest molecule among HFCs gases has 11,700 GWP index. These HFCs gases are used in various device-fabrication processes in the semiconductor industry, CHF3 is used in the etching process of SiO2 films. Since semiconductors are an indispensable part of modern technology. it is time to discover alternative gases with a low GWP index that can be used in the etching process. Thus, C3F6O, one of several precursor gas candidates for fluoro-ketone, will be investigated for several radical species decomposed during the etching process compared to the reference etching gas CHF3, which is deposited on SiO2 to form a fluorocarbon film. This work will use the Vienna ab initio simulation package (VASP) to perform the calculation details of quantum chemistry calculations, Gaussian09 and density functional theory (DFT) to calculate the energy of the decomposition path. |
ALE-MoP-14 Low-Damage Plasma Atomic Layer Etching of Silicon Dioxide and Nitride via DC Substrate Bias and Remote Inductively Coupled Plasma Source
HongHee Jeon, SoWon Kim (Tech University of Korea) Atomic Layer Etching (ALE) is an advanced etching technique consisting of two sequential steps: surface modification and removal of the modified surface. This process enables precise atomic-scale thickness control through its self-limiting characteristics, making it highly suitable for next-generation semiconductor device fabrication. In this study, low-damage and high-productivity ALE process for SiO₂ and SiNx was developed using both inductively coupled Remote Plasma (RP) and DC substrate bias. The process focuses on the effective removal of interfacial defects and residual byproducts. The proposed ALE process is designed to support next-generation DRAM technologies, where precise etch control and material stability are essential. For Direct Plasma (DP)-ALE, the process conditions were set to RF Power of 50W, process pressure of 100 mTorr with Ar, CF₄, C₄F₈, and CHF₃ discharge gases. The reactor configuration featured a 40 mm showerhead-to-substrate distance. The experiments were conducted with process parameter changes in adsorption time, desorption time, and DC substrate bias. To ensure process stability and reduce contamination, O₂ plasma cleaning was performed before and after each ALE cycle to effectively remove residues from the chamber walls. Ellipsometry was employed to measure thickness variations before and after etching, confirming fluorocarbon (FC) film deposition, with additional characterization planned using X-ray Photoelectron Spectroscopy (XPS) and Scanning Electron Microscopy (SEM). The DP-ALE results indicated FC film deposition per cycle of 1.2 Å for SiO₂ and 2.2 Å for SiNx at an adsorption time for 3 seconds. Under conditions RF power of 50W, DC substrate bias of 90V, and a desorption time for 60 seconds, the achieved etch-per-cycle (EPC) was 0.18 nm/cycle for SiO₂ and 0.15 nm/cycle for SiNx, confirming the presence of self-limiting characteristics. The goal of this research is to effectively apply CP(Co-plasma)-ALE including RP and DP plasma and substrate DC bias to next-generation semiconductor technologies to minimize device damage while increasing process control and reliability. And the results of a comparative study will be presented at the conference. View Supplemental Document (pdf) |