ALD/ALE 2024 Session AF1-TuM: Precursors and Chemistry: Precursor Design, New Precursors, Process Development II

Tuesday, August 6, 2024 8:00 AM in Room Hall 3A
Tuesday Morning

Session Abstract Book
(330KB, Jun 24, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

Start Invited? Item
8:00 AM AF1-TuM-1 Navigating the Semiconductor Market for ALD Precursors - in the Past and in the Future
Jonas Sundqvist (TECHCET LLC CA)
The semiconductor industry has been witnessing more than two decades of high volume scale up in the production and application of ALD and CVD precursors, particularly within Advanced Logic, DRAM, and 3DNAND sectors. Recent research by TECHCET reveals a nuanced landscape where the ALD/CVD precursor market, after a period of stagnation in 2023 due to declining memory pricing, is poised for a significant resurgence. This expected revival is attributed to the recovery in memory pricing and a robust demand for metal, high-κ and low-κ dielectric precursors, essential for the fabrication of advanced semiconductor devices.
The research underscores a dynamic growth trajectory for ALD/CVD precursors, driven by the expansion of leading-edge logic devices and the transition of DRAM to more sophisticated Multi Patterning and Extreme Ultraviolet (EUV) technologies. Moreover, the ongoing scaling of 3DNAND architectures to beyond 500 layers heralds an increased demand for several high volume precursors, essential for high aspect ratio etch and deposition processes. This demand is further amplified by the full implementation of High-k/Metal Gate technologies also in DRAM, necessitating a steady supply of hafnium precursors despite existing supply chain challenges.
Notably, the supply dynamics of critical materials like tungsten, derived from WF6 precursors, integral to the vertical scaling of 3DNAND, indicate a balanced supply-demand scenario through 2023, with potential constraints anticipated by 2026. This looming shortage underscores the semiconductor industry's relentless pursuit of alternative materials and processes, such as the potential adoption of molybdenum solid precursors, to ensure the sustainable growth of ALD/CVD precursor markets.
This research encapsulates the resilience and adaptability of the semiconductor industry, highlighting the critical role of ALD/CVD technologies in meeting the ever-increasing demands for higher performance and more compact semiconductor devices. The anticipated growth in the ALD/CVD precursor market not only reflects the industry's response to current challenges but also its proactive stance towards future technological advancements. A deeper dive into historical IÅP filing by Fabricators, Equipment companies and precursor suppliers will be given.
View Supplemental Document (pdf)
8:15 AM AF1-TuM-2 Improvement of COSMO-SAC Method for Estimating Vapor Pressure of ALD Precursors
Noboru Sato, Yuxuan Wu, Jun Yamaguchi, Atsuhiro Tsukune, Yukihiro Shimogaki (The University of Tokyo, Japan)

In ALD, metal complexes (MCs) are mostly used as precursors. Because a sufficient concentration of the precursor is necessary for saturated adsorption, MCs with high vapor pressures are required. Therefore, a method to accurately predict vapor pressure is required when designing new precursors for ALD. In this study, the vapor pressure prediction of MC using COnductor-like Screening MOdel Segment Activity Coefficient (COSMO-SAC) method1 was investigated.

Vapor pressure calculations using COSMO-SAC method were performed using Amsterdam Modeling Suite2. The existing COSMO-SAC method can predict the vapor pressure of MCs with CO or cyclopentadienyl groups with double or half the accuracy of COSMO-SAC method, which is sufficient for precursor selection. However, the vapor pressures of MCs with β-diketonate, amidinate, and alkoxide groups were underestimated by a factor of 100–1000. Therefore, the conventional COSMO-SAC method cannot be applied to a wide range of precursor selection methods.

To improve the accuracy of vapor pressure estimation, we added three procedures to the conventional COSMO-SAC method. Figure 1 shows a schematic of the conventional COSMO-SAC method (black arrows) and improved COSMO-SAC method (colored arrows). As there are no εdsp (empirical parameters to calculate dispersive interactions) data for metal atoms, we investigated the non-regressive method to calculate εdsp (blue arrows in Fig 1). Because the dispersion force is known to be correlated with polarizability, we predicted that εdsp would also be correlated with polarizability. We found a correlation between εdsp and polarizability, expressed by the following equation:

εdsp = 1035×α1.34,

where α is the polarizability of the atoms in the molecule. By calculating the α of the metal atom in the MC and substituting it into this equation, we estimated the εdsp of the respective metal atoms. Then, we modified the activity coefficient due to dispersion interactions (γdsp) based on the polarizability of molecules (red arrows in Fig 1) and branching in the molecules (green arrows in Fig 1).

Figure 2 shows the measured vapor pressure of titanium tetraisopropoxide (TTIP) and those estimated using our method and the conventional method. Our method reproduced the experimental values more accurately than the conventional method did.

Figure 3 shows a comparison between the experimental and estimated vapor pressures of various MCs. As shown in Fig 3, our method reproduces the vapor pressure with an accuracy of approximately 50–200%, which is sufficient to determine whether the MC is suitable for ALD. View Supplemental Document (pdf)
8:30 AM AF1-TuM-3 Development of Precursors and Reactivity for Thermal Atomic Layer Deposition (ALD) of Main Group Elements
Majeda Al Hareri, David Emslie (McMaster University)

The majority of the developments in elemental ALD have involved transition metals. However, interest in main group ALD has increased in recent years due to potential applications in a variety of technologies.1-3 Research in the Emslie group is focused on the design, synthesis, and characterization of new precursor molecules, and investigations into their use as thermal ALD precursors through a combination of solution-state reactivity studies and ALD reactor studies. Through modification of the precursor design of a previously reported elemental antimony ALD process,4 we recently developed the first example of room-temperature thermal ALD of a pure element, using Sb(SiMe3)3 in combination with SbCl3 to grow pure antimony films on H-terminated Si and SiO2 substrates.5 Efforts to expand this research to elemental boron ALD will be described, including synthesis of the potential precursors, (R3E)2B(NR'2) (E = Si or Ge), spectroscopic and crystallographic characterization of these compounds, and attempted boron deposition using a boron trihalide (BX3; X = Cl, Br) co-reactant; anticipated byproducts in this reactivity are R3EX and B(NR'2)X2, where the formation of strong E–X bonds provides a thermodynamic driving force. Development of a thermal ALD process for elemental germanium will also be described, achieving self-limiting growth at relatively low temperatures, and exhibiting substrate selectivity for deposition on H-terminated Si rather than SiO2.

References

  1. Salinga, M; Kersting, B.; Ronneberger, I.; Jonnalagadda, V. P.; Vu, X. T.; Le Gallo, M.; Giannopoulos, I.; Cojocaru-Mirédin, O.; Mazzarello, R.; Sebastian, A. Monatomic Phase Change Memory. Nat. Mater. 2018, 17, 681-685.
  2. Glavin, N. R.; Rao, R.; Varshney, V.; Biano, E.; Apte, A.; Roy, A.; Ringe, E.; Ajayan, M. Emerging Applications of Elemental 2D Materials. Adv. Mater. 2020, 32, 1904302.
  3. Pillarisetty, R. Academic and Industry Research Progress in Germanium Nanodevices. Nature 2011, 479, 324-328.
  4. Pore, V.; Knapas, K.; Hatanpää, T.; Sarnet, T.; Kemell, M.; Ritala, M.; Leskelä, M.; Mizohata, K. Atomic Layer Deposition of Antimony and its Compounds Using Dechlorosilylation Reactions of Tris(triethylsilyl)antimony. Chem. Mater. 2011, 23, 247-254.
  5. Al Hareri, M.; Emslie, D. J. H. Room-Temperature Atomic Layer Deposition of Elemental Antimony. Chem. Mater. 2022, 34, 2400-2409.
8:45 AM AF1-TuM-4 A New ALD Process for Elemental Tellurium
Paavo Porri, Timo Hatanpää, Heta Nieminen, Kenichiro Mizohata, Matti Putkonen, Mikko Ritala (University of Helsinki)

Research on ALD of metal tellurides has been focused on phase-change memory materials. Owing to their electrical switching behaviour, binary and ternary compounds and alloys of germanium, antimony and tellurium have become an important area of development for memory and selector devices. Elemental tellurium has also garnered interest in materials research due to its thickness-dependent electrical properties, air stability and high hole mobility. Thus, it has been found to be a potential candidate to ensure continuing the miniaturization of transistors. However, process studies for depositing tellurium films are quite scarce. This study aims to illuminate a new ALD chemistry route for elemental Te films by combining an alkylsilyl telluride precursor with tellurium dichloride. In addition to elemental Tefilms,the new ALD process can be used for tuning the composition of metal telluride compoundssuch as GeTe.

The depositions were done in a commercial flow type ALD reactor using (Et3Si)2Te(bis(triethylsilyl) telluride) and TeCl2 as precursors. The process is expected to involve a dechlorosilylationreaction, which follows the Lewis hard-soft acid-base principle. Film morphology was studied with SEM, film crystallinity and crystallization temperature with XRD, and elemental composition with ToF-ERDA. Tellurium growth was observed at a temperature range of 90-110 °C. Film morphology and growth rate were highly dependent on the substrate. On native silicon oxide, the nucleation was sparse, and morphology of the deposited material initially resembled nanowires that subsequently coalesced with further deposition cycles. On Au the film morphology was smoother and continuous already at low cycle numbers. The considerable difference in the growth rates between native SiO2 and Au is leveraged to attain area selective growth on Au. The films deposited in mild conditions are crystalline exhibiting hexagonal crystalline structure, and of high purity. A GeTe ALD process was modified with the studied Te process to yield tellurium-rich GexTey films with enhanced phase transition temperature.

View Supplemental Document (pdf)
9:00 AM AF1-TuM-5 Development of an Innovative Method to Find New Efficient Gallium ALD Precursors
Eva Pugliese, Damien Coutancier, Julien Hervochon, Paul-Alexis Pavard (CNRS-IPVF); Corinne Gosmini (Ecole Polytechnique - CNRS, France); Virginie Lair (Cimie ParisTech PSL); Armelle Ringuede (Chimie ParisTech PSL); Muriel Bouttemy (Institut Lavoisier de Versailles); Audrey Auffrant (Ecole Polytechnique - CNRS, France); Nathanaelle Schneider (CNRS-IPVF)

Atomic Layer Deposition (ALD) is a booming technology to deposit thin films and it has been applied in several fields. This technique is based on surface-chemical reactions, and relies on the gas phase transport of metal containing molecules into a reaction chamber. However, not any molecule is suitable to be used as precursor, as they must be thermally robust while being sufficiently volatile and chemically labile to react with the surface functional groups. Organometallic chemistry offers a nearly infinite set of options to design new efficient precursors, though predicting their volatility and reactivity in the ALD chamber remains a challenge.[1] Establishing an efficient method to assess the physical and chemical properties of complexes would grant access to new ALD precursors and a better understanding of surface reactions.

This communication focuses on the development of new efficient gallium precursors to be used in the ALD of oxygen-free gallium-containing sulfide thin films.[2] A series of gallium complexes with chelating nitrogen based ligands (guanidinate, amidinate and triazenides) were synthesized and characterized (NMR, XRD) thanks to modular procedures.[3,4] To assess the thermal stability of the reagents and shed light on their transport in ALD, thermal analysis (TGA,DSC) were realized under N2 and vacuum to mimic transport conditions. Finally, reactivity studies in solution of established ALD precursors and synthesized complexes provide an insight of surface reactions, which might take place in an ALD chamber. Having selected the most promising candidates, they were tested for film growth in an ALD apparatus using QCM setup. Interestingly, it was possible to highlight the crucial role of the non-chelating ligands in the film growth. Complexes with methyl ligands lose their surface reactivity after few cycles, whereas their substitution with amino groups can give a constant GPC over the whole range of the study. Gallium sulfide thin film could be prepared and their chemical fine composition was analyzed by XPS.

These results display the challenges that we may encounter in the targeting of new precursors and the importance of establishing a precise method to predict reactivity in ALD conditions.

References:

[1] S. E. Koponen, P. G. Gordon, S. T. Barry, Polyhedron2016, 108, 59–66.

[2] N. Schneider, M. Frégnaux, M. Bouttemy, F. Donsanti, A. Etcheberry, D. Lincot, Materials Today Chemistry2018, 10, 142–152.

[3] A. P. Kenney, G. P. A. Yap, D. S. Richeson, S. T. Barry, Inorganic Chemistry2005, 44, 2926–2933.

[4] S. Dagorne, R. F. Jordan, V. G. Young, Organometallics1999, 18, 4619–4623.

View Supplemental Document (pdf)
9:15 AM AF1-TuM-6 Insights into Reactive Oxygen Species and Film Properties of Atomic Layer Deposited Al2O3 using Different Plasma-Activated Waters as Co-reactant
Julia Karnopp (Aeronautics Institute of Technology); Felipe de Souza Miranda (Universidade Estadual Paulista (UNESP)); Nilton Azevedo Neto (Aeronautics Institute of Technology); Lady Daiane Pereira Leite (Universidade Estadual Paulista (UNESP)); Douglas Marcel Leite, Clodomiro Alves Junior, Argemiro da Silva Sobrinho, Rodrigo Sávio Pessoa (Aeronautics Institute of Technology)

This investigation focuses on harnessing the unique reactive properties of plasma-activated water (PAW) as an innovative oxidant within the atomic layer deposition (ALD) process, specifically targeting the synthesis of aluminum oxide (Al2O3) films. The study is premised on the hypothesis that PAW, enriched with reactive oxygen species (ROS) such as ozone (O3) and hydrogen peroxide (H2O2), could significantly enhance the efficiency of the ALD process, thereby improving the growth rate and quality of Al2O3 films. Our experimental approach involved the generation of PAW with varied ROS concentrations, achieved through atmospheric air plasma in three distinct reactor configurations: a coaxial dielectric barrier discharge reactor focusing on high O3 concentrations, a pin-to-water reactor yielding H2O2-rich PAW, and a hybrid DBD+gliding arc reactor producing a balanced mix of O3 and H2O2. The impact of these different PAW types on the ALD process was meticulously evaluated through a series of ALD runs conducted at substrate temperatures of 100°C, 150°C, and 200°C, with the process spanning 1000 reaction cycles on Si(100) substrates. The study's findings were profound, revealing that the incorporation of PAW, particularly those with elevated H2O2 and O3 levels, led to a marked increase in the growth per cycle (GPC) of Al2O3 films at 100°C, with the most significant enhancement observed with the hybrid PAW. This improvement in GPC was accompanied by notable changes in the films' uniformity and chemical composition, which were thoroughly analyzed using FTIR and AFM. These analyses highlighted a shift in the chemical bonds present within the films, with an increase in hydroxyl and carbon-related groups, and a corresponding rise in surface roughness compared to films grown using deionized water. Additionally, the study ventured into examining the PAWs' evaporation behavior under vacuum conditions, revealing an accelerated evaporation rate compared to standard deionized water. This observation, coupled with the detailed ROS analysis, provides a compelling explanation for the heightened reactivity and efficacy of PAW in the ALD process. The practical implications of these findings are vast, extending beyond the field of material synthesis. Notably, the application of PAW-generated Al2O3 films on polymeric substrates like PMMA demonstrated a significant total reflection effect in the infrared spectrum, suggesting potential uses in passive cooling technologies. This aspect of the research opens up new avenues for the application of PAW-enhanced ALD films in advanced engineering and environmental management.

9:30 AM AF1-TuM-7 The Effect of Co-Reactants on Interfacial Oxidation in Atomic Layer Depositionof Oxides on Metal Surfaces
Jay Swarup, Heng-Ray Chuang, Amy You, James Engstrom (Cornell University)
We have examined the ALD of Al2O3 using TMA as the precursor and t-BuOH and H2O as the co-reactants, focusing on the effects of the latter on both the ALD process and the possible modification of the underlying substrate.We have employed a quartz-crystal microbalance (QCM) to monitor ALD in situ and in real-time, and the deposited thin films have been characterized using X-ray photoelectron spectroscopy, spectroscopic ellipsometry, and X-ray reflectivity.Growth of thin films of Al2O3 using TMA and either t-BuOH or H2O as the co-reactant at T = 285 °C produces thin films of similar physical properties (density, stoichiometry, minimal carbon incorporation), and the growth rate per cycle is similar for the two co-reactants at this temperature.At a lower temperature of T = 120 °C, the behavior is starkly different, where growth occurs with H2O but not with t-BuOH.At either process temperature, we find no evidence for significant coverages of a long-lived tert-butoxy species from the reaction of t-BuOH. Deposition of thin films of Al2O3 on metal surfaces of Cu and Co has been examined for evidence of interfacial oxidation.While growth with either co-reactant does not lead to the oxidation of the underlying Cu substrate, use of H2O leads to the oxidation of Co, while use of t-BuOH as the co-reactant does not.Thermodynamic factors may affect early stages of growth as Al species will likely scavenge all free O species. In contrast, at later times diffusion of species through the deposited Al2O3 thin film could result in oxidation at the Al2O3|metal interface, a process that is strongly hindered in the case of t-BuOH due to its size. This observation highlights the importance of the choice of the co-reactant concerning ALD of oxides on metal surfaces.
9:45 AM AF1-TuM-8 Atomic Layer Deposition of Co2P Thin Films
Elisa Atosuo, Petro Deminskyi (ASM Microchemistry Ltd., Finland); Kenichiro Mizohata, Timo Hatanpää, Mikko Ritala (University of Helsinki, Finland)

In this work we have deposited cobalt phosphide (Co2P) by thermal ALD. Co2P is a metallic material that has potential in a variety of applications such as hydrogen evolution reaction and lithium-ion batteries.

In general, the ALD processes for metal phosphides are scarce and mostly use the highly toxic PH3 gas as the phosphide source. To our knowledge, only two ALD processes for transition metal phosphides has been reported. CoPx has been deposited using Co(AMD)2 with PH3 plasma and H2 plasma as precursors.[1] MoP was deposited by using MoCl5 and PH3.[2]

In this work Co2P was deposited by using CoCl2TMEDA (TMEDA = N,N,N′,N′-tetramethylethylenediamine) and tris(trimethylsilyl)phosphine (P(SiMe3)3) as precursors. Alternatively, Co2P can be deposited by using a three-precursor process: CoCl2(TMEDA), NH4F and P(SiMe3)3. The advantages of our processes are that we avoid the use of toxic PH3 but also the possible damage caused by plasma.

Co2P films were deposited with the CoCl2(TMEDA) + P(SiMe3)3 process at 225–250 °C. The GPC was approximately 0.65 Å at 250 °C. Saturation of the GPC with respect to both precursors was verified at 250 °C. The films consist of orthorhombic Co2P as measured by XRD, and the Co/P ratio is close to 2 as measured by ToF-ERDA. The main impurity is carbon, decreasing with the decreasing deposition temperature from 9.5 at-% at 250 °C to 6.7 at-% at 225 °C. However, with the three-precursor process lower deposition temperatures can be used and the C content is lower, e.g., ~ 3.3 at-% at 215 °C. In addition, only a small F content (e.g. ~0.4 at-%) was found in the films.

[1] H. Zhang, D. J. Hagen, X. Li, A. Graff, F. Heyroth, B. Fuhrmann, I. Kostanovskiy, S. L. Schweizer, F. Caddeo, A. W. Maijenburg, S. Parkin, R. B. Wehrspohn, Angew. Chem. Int. Ed. 2020, 59, 17172

[2] R. Browning, P. Plachinda, R. Solanki, Appl. Nano 2023, 4, 38–44

10:00 AM Break & Exhibits
Session Abstract Book
(330KB, Jun 24, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AF Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule