ALD/ALE 2024 Session AS-TuP: Area Selective ALD Poster Session

Tuesday, August 6, 2024 5:45 PM in Room Hall 3
Tuesday Evening

Session Abstract Book
(355KB, Jun 24, 2024)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

AS-TuP-1 Selective Metal Blocking using Vapor-Phase Self-Assembled Monolayers for Area-Selective Atomic Layer Deposition of Dielectrics
Jeong-Min Lee, Woo-Hee Kim (Hanyang University)
Area-selective atomic layer deposition (AS-ALD) offers complementary bottom-up patterning with atomic-level accuracy on pre-defined areas in conjunction with conventional top-down patterning, so it has attracted tremendous interest for enablement of multi-dimensional nanostructures toward sub-10 nm scale technology. In this study, we report a methodology for achieving selective deposition of dielectric thin films by using vapor-phase self-assembled monolayers (SAMs) accompanied by post-surface treatment. First, we investigated the AS-ALD of ZrO2 films for dielectric versus metal selectivity through vapor-phase phosphonic acid (PA) SAMs treatment with long alkyl chains. The blocking capability of PA SAMs was comparatively evaluated with O3 and O2 reactants on SiO2, TiN, and W substrates, and we confirmed that a relatively high deposition selectivity above 0.9 can be achieved even after ALD 200 cycles with mild oxidizing reactant. Further, electrical properties of ZrO2 films deposited with both reactants were also investigated comparatively with and without post-surface treatment, and as a result, it was demonstrated that high-quality ZrO2 films with high dielectric constant can be fabricated through the post-surface treatment. Second, we confirmed the AS-ALD of SiO2 films only on SiO2 substrates through vapor-phase functionalization of PA SAMs with fluoroalkyl chains. By using the mild oxidant in subsequent ALD process, selective deposition of SiO2 thin films over ~8 nm on SiO2 versus TiN and W substrates was successfully demonstrated.We anticipate that this work provides a new strategy to achieve highly selective deposition for AS-ALD of dielectric on dielectric (DoD) application toward the upcoming bottom-up 3D nanofabrication.
AS-TuP-2 Area-Selective ALD of Transparent Conductive Oxides by Using Polymer Patterns Generated with High-Precision Capillary Printing
Ludovic Hahn (CEA-LETI, France); Marc Pascual, Achille Guitton, Kristina Farmand, Amin M'Barki (Hummink); Tony Jullien, Luana Golanski, Chloé Guerin, Vincent Jousseaume (CEA-LETI, France)

Transparent conductive oxide thin films are widely developed for numerous applications in optoelectronics due to their unique properties. However, the oxide film needs to undergo patterning, which is often difficult to achieve with standard lithographic steps. Indeed, those materials are difficult to integrate due to their sensitivity to chemistry, like ZnO, which is water-sensitive. This problem becomes even more dominant when reducing the features for further application. An alternative to photolithographic steps is Area Selective Deposition (ASD), which uses an inhibiting layer such as a polymeric film that prevents oxide deposition on certain controlled regions.

In this work, polymeric films were printed by an innovative direct nanoprinting technique [1]. This High Precision Capillary Printing (HPCAP) technique is based on AFM technologies. A nanopipette filled with the ink of interest will oscillate at a high frequency and deposit the ink to form complex geometries at the surface of a substrate. A precision of 20 nm in the xy plane and a resolution between 100 nm and tens of microns can be achieved, which, coupled with a high deposition rate, makes it a good alternative for additive manufacturing.

Micrometric patterns of polymers, comprising poly(methyl methacrylate) (PMMA), poly(vinyl pyrrolidone) (PVP) and an epoxy-based resist (SU-8) were drawn on silicon substrate. Then TCO, such as ZnO and SnO2, were deposited on the patterned polymers by low-temperature ALD. By using spectroscopic ellipsometry, atomic force microscopy, scanning electron microscopy, and energy-dispersive X-ray spectroscopy, it is shown that PMMA inhibits both oxides, compared to PVP and SU-8, which only suppress ZnO growth. Moreover, an exclusion zone around the polymer pattern where oxides are inhibited is observed, depending on the polymer used. The study of the impact of the pattern size (from a few μm to a few tens of μm) reveals that this exclusion zone is independent of the geometry but mainly depends on the polymer used and the type of oxide deposited.

Finally, the polymers can be selectively removed leading to a selective deposition of TCO and a 10 µm pixel array was successfully produced. This original approach combining the direct nanoprinting of a polymer pattern with the subsequent ALD deposition of TCO appears to be a promising path for the patterning of a sub-10 µm matrix of pixels.

[1] M. Pascual, N. Bigan, A. M'Barki, R. Mental, I. Allegro, U. Lemmer, SPIE Opto 2023, 124330E

AS-TuP-3 Photo-Enhanced Selective Area Atomic Layer Deposition
Paul Butler (Walter Schottky Institut, Technische Universität München); Luca Sortino (Ludwig-Maximilians-University of Munich); Stephan Maier (Monash University, Australia); Ian Sharp (Walter Schottky Institut, Technische Universität München)

One of the major challenges that ALD currently faces is the lack of lateral control, which has led to an ongoing interest in selective-area ALD (SA-ALD) techniques that can allow for bottom-up fabrication of micro- and nano-structures. In this respect, photo-enhanced ALD (photo-ALD), in which well-defined photoexcitation is used to activate chemical reactions at certain areas on a surface, holds significant promise for SA-ALD. However, relatively few studies on photo-ALD have been conducted and the spatial resolution of this technique remains limited. Here, we present a novel approach to achieving SA-ALD via in situ photoexcitation of the surface. Our experiments demonstrate that optical laser excitation enhances ALD-growth of TiO2 films on gold substrates, with the deposition rate increasing with laser intensity. To investigate the photo-induced reaction, gold-coated silicon substrates were sequentially exposed to titanium isopropoxide (TTIP) and ozone outside the normal ALD process window, with some substrates exposed to illumination from a 515 nm laser. Using in situ spectroscopic ellipsometry to monitor the growth rate of the TiO2 films during the ALD deposition, we find an illumination-activated growth that can be assigned to enhanced oxidation of the adsorbed precursor. Furthermore, patterned illumination through a shadow mask results in laterally structured growth, as verified by ex situ ellipsometry mapping. Ongoing work is now devoted to identifying thermal and electronic contributions to the growth mechanism, as well as coupling the exciting illumination to plasmonic gold nanostructures. Overall, this method of selective-area photo-ALD could later be applicable to bottom-up nanofabrication, with applications ranging from nanoscale optoelectronics to photocatalysis.

View Supplemental Document (pdf)
AS-TuP-4 Area-Selective Atomic Layer Deposition of Bilayer Materials Using Polymethylmethacrylate Thin Films as Blocking Layers
Aditya Chalishazar, Nithin Poonkottil, Christophe Detavernier, Jolien Dendooven (Ghent University)

Area-selective ALD (AS-ALD) is an interesting subset of ALD where materials are selectively grown on specific areas of the substrate. As an additive bottom-up approach, it has immense potential for reducing the number of steps in nanomanufacturing [1-3].

AS-ALD studies have mostly been limited to single-material deposition. Multi-material AS-ALD poses additional challenges as it requires the ALD process chemistries to be compatible in their temperature windows and chemical selectivity. Realizing multi-material ASD has become an interesting challenge in recent years [2,4]. In particular, selective deposition of multilayers can substantially reduce processing time for the fabrication of nanoscale devices.

In this work, we explore the use of metal dialkylamide precursors with polymethylmethacrylate (PMMA) masking layers to realise multi-material AS-ALD. PMMA is a popular AS-ALD inhibitor layer: it can be patterned using various approaches and can easily be removed using exposure to plasma or dissolution in appropriate solvents [3,5].

Here, ALD of SnO2 and Ta2O5 using their dialkylamide precursors and water at 120 °C was performed on Si and blanket PMMA substrates. Selective deposition of SnO2 and Ta2O5 is obtained on Si with negligible deposition on the PMMA blanket substrate after 100 cycles (Figure 1), which was confirmed by XRR/XRF and XPS measurements. SnO2 was then deposited on a PMMA patterned wafer and studied using energy dispersive X-ray spectroscopy (EDS), which showed deposition between the PMMA pattern (Figure 2a-c). The films were imaged after plasma treatment, which resulted in a SnO2 pattern (Figure 2d-f). Extending this concept, we deposited a SnO2-Ta2O5 bilayer using a PMMA masking pattern, followed by mask removal with oxygen plasma (Figure 3). This demonstrates the deposition of a multilayer material using one template material without the need for intermediate processing steps. We believe such a combinatorial approach using metal dialkylamide precursors and PMMA can open new avenues to the deposition of patterned multilayer devices for device manufacturing using AS-ALD.

[1]Chemistry of Materials,2018, 31, 2-12
[2] Chemistry of Materials, 2023, 35, 4375-4384
[3] Advanced Materials Interfaces,2023, 10, 2201934
[4]ACS Nano, 2021, 15, 12276-12285
[5] Chemistry of Materials, 2020, 32, 4920-4953

View Supplemental Document (pdf)
AS-TuP-5 Density Functional Theory Study on Selective Silylation of SiO2 Against Cu Using Dimethylaminotrimethylsilane
Misoo Kim, Khabib Khumaini, Romel Hidayat, Hye-Lee Kim, Won-Jun Lee (Sejong University)

Selective cobalt capping of the copper surface has been introduced to improve the electromigration resistance of copper interconnects. Chemical vapor deposition of cobalt on copper is inherently selective against silicon oxide, and the selectivity can be enhanced by silylation of the oxide surface to form an alkyl-terminated surface. In the previous work, we studied the selective chemisorption of the cobalt precursor on copper and showed that chemisorption is favored on copper but not on silicon oxide. We also showed that physisorption and chemisorption of the cobalt precursor are more difficult on alkyl-terminated SiO2 than on OH-terminated SiO2 [1]. Recently, another research group demonstrated that the alkyl-terminated surface is also essential for the selective atomic layer deposition of ruthenium films [2]. They observed that at 250°C, dimethylaminotrimethylsilane (DMATMS) readily reacts with surface –OH groups on SiO2 to form –O–Si(CH3)3 groups. However, the theoretical study of the reaction mechanism to form an alkyl-terminated silicon dioxide surface is rare. In addition, the reaction of DMATMS with metallic surfaces has not been reported by either experimental or theoretical studies. In the present work, we performed density functional theory (DFT) calculations to study the reaction mechanism for the selective silylation of DMATMS on silicon oxide against copper surfaces. We constructed two substrates, vicinal OH-terminated SiO2 and bare copper substrate. All possible chemisorption pathways were considered. The reaction and activation energies of chemisorption on these two surfaces were calculated and compared. In addition, the Gibbs free energy changes for silylation were determined to explain the selective silylation at process temperature. The results can provide fundamental insights into the selective surface inhibition mechanism for area-selective deposition.

Acknowledgments

References

[1] K. Khumaini et al., Appl. Surf. Sci.585 (2022) 152750.

[2] J. Soethoudt et al., J. Mater. Chem. C7 (2019) 11911.

AS-TuP-6 Self-Aligned Patterning of Tantalum Oxide on Cu/SiO2 with Inherent Selective Atomic Layer Deposition
Kun Cao, Zilian Qi (State Key Laboratory of Intelligent manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology); Bin Shan (State Key Laboratory of Materials Processing and Die & Mould Technology, School of Materials Science and Engineering, Huazhong University of Science and Technology); Rong Chen (State Key Laboratory of Intelligent manufacturing Equipment and Technology, School of Mechanical Science and Engineering, Huazhong University of Science and Technology)

The chemical principal and mechanisms that enable selective atomic layer depositions are gaining rapid growing interests, which have unlocked attractive avenues for the development of novel nanostructures by depositing atoms at desired surface locations. In this talk, the inherently selective atomic layer deposition processes will be discussed. Tantalum oxide was studied on a series of oxide substrates. Although the oxides have -OH groups on the surface and proposed to have similar nucleation sites, there are long nucleation delays on basic oxides. The H-transfer reaction is a key factor to influence the reaction barrier. It is hard to nucleate on basic substrates because the H-transfer reaction is blocked. Another demonstration is the redox-coupled inherently selective ALD for self-alignment of tantalum oxide on SiO2/Cu nanopatterns. By adding an in-situ ethanol reduction pulse before each traditional binary ALD cycle, and the ‘reduction-adsorption-oxidation’ ALD process increases the selectivity. Self-aligned manufacturing on nanoscale Cu/SiO2 patterns without excessive mushroom growth at the edge and undesired nucleation defects on the Cu region. The process can be reliably repeated to yield more than 5 nm-thick Ta2O5 on the SiO2 region, while no undesired deposition occurs on Cu patterns. In addition, an anisotropic growth model with the dynamical competition of expansion and dissociation of the nucleus is proposed to nucleation delay are quantitively predicted and the model provides a practical method to evaluate the selectivity of ALD theoretically.

AS-TuP-7 Bottom-up Plasma-Enhanced Atomic Layer Deposition of SiO2 in High Aspect Ratio Trenches using NF3 Inhibitor
Martial Santorelli (Université Grenoble Alpes, CNRS, LTM, STMicroelectronics,); Jean-Hervé Tortai (Université Grenoble Alpes, CNRS, LTM); Madec Querré (STMicroelectronics); Marceline Bonvalot (Université Grenoble Alpes, CNRS, LTM, J-FAST, Institute of Applied Physics, Faculty of Pure and Applied Sciences, University of Tsukuba)

With the continuous size reduction of pixels of CMOS optical sensors, one of the main innovations consists in implementing optical pixels in the back-face of the chip, so that the high flux of light does not have to cross the metal wiring region (Fig. 1). This, in turn, provides increased light absorption and enhanced sensitivity, thereby allowing pixels downscaling, leading to high-resolution image sensors. However, this solution brings new technical challenges, which must be addressed, such as parasitic charge transfer between neighboring pixels, also called cross-talks. To avoid the resulting loss of performance, pixels are separated by Deep Trench Isolators (DTI), which are most commonly filled with SiO2. At each new generation of optical sensor, the DTI aspect ratio (AR) is gradually increasing [1]. Thus, the correct trench filling of such high AR structures by SiO2 becomes a true technical challenge (Fig. 2a).

Plasma Enhanced Atomic Layer Deposition (PEALD) is the most appropriate deposition process for this purpose, due to its high degree of conformality, although it leads to seam or void formation in the depth of high AR trenches. Such defects are thought to originate from the formation of an overhang of precursor adsorbates at the trench opening, due to the higher concentration of reactants, which leads to a higher surface growth rate (Fig. 2b).

In this work, we have investigated the possibility of inserting an additional inhibiting NF3 plasma step within the PEALD cycle. It focuses on the involved inhibition mechanisms and highlights the dependence of the filling depth on the trench opening dimension and plasma process parameters. Inhibition mechanisms are analyzed by ellipsometry and XPS and diffusion depth by SEM, coupled with FIBSEM/TEM. The optimization of the periodicity of the NF3 plasma step leading to the best trench filling is discussed in detail. This study should facilitate future process developments addressing trench filling with critical width and depth dimensions.

[1] A. Tournier et al., “Pixel-to-Pixel isolation by Deep Trench technology: Application to CMOS Image Sensor,” 2011.

View Supplemental Document (pdf)
AS-TuP-8 What Happens to Small Molecule Inhibitors after the Selectivity Is Lost: 4-Fluorophenylboronic Acid Functionalization of Silicon Surface to Inhibit TiO2 Deposition
Andrew Teplyakov, Dhamelyz Silva-Quinones, John Mason, Robert Norden (University of Delaware)
As the size of the components in electronic devices decreases, new approaches and chemical modification schemes are needed to produce nanometer-size features with bottom-up manufacturing. Organic monolayers can be used as effective resists to block the growth of materials on non-growth substrates in area-selective deposition methods. However, choosing the appropriate surface modification requires knowledge of the corresponding chemistry and also a detailed investigation of the behavior of the functionalized surface in realistic deposition schemes. The 4-fluorophenylboronic acid (FPBA) can be used as a model to investigate the possibility to utilize the Si(100) surface functionalized with this compound as a non-growth substrate in a titanium dioxide (TiO2) deposition scheme based on sequential doses of tetrakis(dimethylamido)titanium (TDMAT) and water and to follow what happens at the interface when the selectivity is eventually lost. A combination of X-ray photoelectron spectroscopy (XPS) and time-of-flight secondary ion mass spectrometry (ToF-SIMS) allows for a better understanding of the process. The functionalized surface is shown to be as effective non-growth area to TiO2 deposition when compared to currently used H-terminated silicon surfaces but to exhibit much higher stability in ambient conditions. Thermal treatment and analysis of F and B labels allow for investigating interface stability and opportunities to use the scheme for monolayer doping.
AS-TuP-9 Modelling the Reactivity of Small Molecule Inhibitors by Density Functional Theory
Fabian Pieck, Ralf Tonner-Zech (Wilhelm-Ostwald Institut for Physical and Theoretical Chemistry, Leipzig University)
Within area-selective atomic layer deposition selectivity is achieved by various approaches. We follow the strategy to block growth on the non-growth surface by the deposition of small molecule inhibitors (SMIs) prior to the atomic layer deposition (ALD) process. Here, the selectivity of the process can be improved by understanding the properties and reactivity of the SMIs at an atomic level. Especially, tuning the SMIs with respect to the studied surface and ALD process based on their blocking mechanism is highly valuable. To understand the impact of the SMI structure on its reactivity we are studying two classes of SMIs namely sulfur-based SMIs as diethyl sulfide1, dipropyl sulfide and diisopropyl sulfide as well as nitrogen-based SMIs as aniline, pyridine and pyrrole (Figure 1). With SiO2, Cu(111) and CuO(111) common metal and oxide surfaces are considered as substrate while these classes of SMIs are experimentally investigated for the deposition of HfO2 and Al2O3, respectively.

We use ab initio modelling by density functional theory (DFT) to explore adsorption properties and on-surface reactivity of SMIs. Here, adsorption energies are used to find the most stable adsorption structures, while changes in the adsorption energies for multiple adsorbates help to identify ideal inter-molecular spacing and SMI packing density. Reaction paths and transition states are obtained by the nudged elastic band method while obtained activation energies reveal the most likely reactions. In addition, kinetic Monte Carlo (kMC) simulations are used to clarify the impact of obtained intermediates and side reactions on the desired product formation.

1. S. Zoha, F. Pieck, B. Gu, R. Tonner-Zech, H.-B.-R. Lee, Organosulfide Inhibitor Instigated Passivation of Multiple Substrates for Area-Selective Atomic Layer Deposition of HfO2. Chem. Mater. 2024 accepted.

View Supplemental Document (pdf)
AS-TuP-12 The Formation of a Bottomless ZnO Barrier Using Inherent ZnO AS-ALD Process for Advanced Metallization
Yuki Mori (TANAKA Precious Metals); Yeseul Son (Ulsan National Institute of Science and Technology (UNIST)); Sang Bok Kim (Ulsan National Institute of Scienece and Technology (UNIST)); Soo-Hyun Kim (Ulsan National Institute of Science and Technology (UNIST))

Area selective atomic layer deposition (AS-ALD) is one of the most promising technologies for next-generation interconnect. The bottomless barrier using AS-ALD can reduce interconnect resistance by the deposition of barrier material only on the via sidewall (such as SiO2/low-k) not on the via bottom (such as metal). Generally, in the previous studies, barrier materials are selectively deposited using long-chain inhibitors. Therefore, it is difficult to apply it to fine structures. Inhibitor-free inherent AS-ALD is a promising process to solve this problem. ZnO has been reported as the bottomless barrier of Cu interconnects by AS-ALD using an alkanethiol inhibitor [1]. There are many reports regarding AS-ALD of ZnO using inhibitors but the investigation on inherent inhibitor-free AS-ALD of ZnO is very limited [2] thought inhibitor-free ALD has clear advantages with device scaling-down. In this report, systematic studies on the inherent ZnO AS-ALD with substrate materials and process of substrate materials are described. Here, ALD of ZnO was basically conducted at 120 ºC by using diethylzinc (DEZ) and H2O as a precursor and a reactant, respectively. DEZ and H2O pulsing time were 1 second, where it guarantees the self-limited growth of ZnO. The results shows that there is no incubation cycle on SiO2, but ~80 incubation cycle on H-terminated (Si-H). It indicates that the surface OH-groups on SiO2 promote the adsorption of the Zn precursor, and the surface H-groups on Si-H prevent the adsorption of the Zn precursor. We also investigated about ZnO ALD on different metal surfaces and found that ALD-ZnO process shows a nucleation delay on clean metal surfaces with fewer surface OH-groups. On the easily oxidized metals (such as Ti and Cu), ALD-ZnO process shows almost no incubation cycle. The present findings are expected to enable the formation of bottomless ZnO barrier without providing any inhibitor and reduce interconnect resistance in semiconductor devices.

[1] Y. Mori et al., Small 2023, 19, 2300290.

[2] A. Mameli et al., Chem. Mater. 2019, 31, 1250.

AS-TuP-13 Area-Selective Atomic Layer Deposition by Sputter Yield Amplification on Heavy Elements
Arthur de Jong, Manosch Bär, Marc Merkx, Erwin Kessels, Adrie Mackus (Eindhoven University of Technology)

Area-selective deposition (ASD) is an emerging approach for device fabrication, that can circumvent the need for lithography for specific layers in a device stack. The selectivity between the growth and the non-growth area is typically achieved on the basis of a chemical difference, by e.g. selective precursor or inhibitor adsorption [1]. To expand the ASD toolbox, a physical approach combining a non-selective ALD process with area-selective sputter etching by ions is investigated in this work. The advantage of sputter etching is its relative independence on temperature and ALD chemistry. To enable selective etching based on sputter yield amplification, the non-growth area requires an element with a much larger mass than the incoming ion [2]. The ions generated by a plasma arrive at the surface with an inwards facing momentum. If an ion collides with an atom having a much larger mass, this momentum is redirected upwards more efficiently as compared to a collision with a light atom. This efficient redirection increases the amount of energy that is transferred to surface atoms, resulting in a larger probability to etch them. The growth area should not contain heavy elements, leading to a much smaller etch rate.

To investigate the selective sputter etching, a thin SiO2 overlayer deposited by ALD was exposed to low-energy Ar ions. The amount of SiO2 removed depends significantly on the substrate material underneath (Al2O3, TiO2, Nb2O5, MoO3, HfO2, Ta2O5 and WO3 are investigated here). In general, the heavier the mass of the metal atoms in the substrate, the less SiO2 is observed after the ion exposure. As demonstration for the feasibility of the approach, SiO2 was selectively deposited on Al2O3 with respect to a HfO2 non-growth area. The ASD process consists of repeating supercycles of three SiO2 ALD cycles and Ar ion exposures from a plasma. Approximately 1.2 nm of selective growth is achieved on the Al2O3, while most deposition is effectively removed from the HfO2 (<0.3 nm is observed). It is challenging to maintain the etch selectivity for thicker SiO2 which can be mitigated by tuning the ALD process. This proof-of-concept shows that exploiting sputter yield amplification can enable ASD processes that are complementary to the existing chemical approaches.

[1]Mackus et al. (2019) Chemistry of Materials 31, 2
[2]Berg et al. (1992) Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 10, 1592
View Supplemental Document (pdf)
AS-TuP-14 Theoretical Investigation on Impurity Formation Mechanism During Area-Selective Atomic Layer Deposition Using Organic Inhibitors
Jiwon Kim, Bonggeun Shong (Hongik University)

Recent advancements in semiconductor devices aim for performance improvement through miniaturization and also employing of three-dimensional architectures. Atomic layer deposition (ALD) is often employed for its advantages of high uniformity and conformality of the deposited thin films. Area-selective ALD (AS-ALD), a potential bottom-up approach for self-aligned fabrication of thin films, may offer process innovations that can solve fabrication challenges. Furthermore, ALD on high aspect ratio (HAR) substrates often involves challenge in conformality. It was recently shown that spatial modulation of the ALD growth rates by adsorption of inhibitors with gradient in density can enhance the conformality within the HAR structures. However, in such AS-ALD approaches, molecular inhibitors are often utilized to selectively deactivate the growth of thin films, which may result in increased impurity levels if unwanted side reactions occur. In this study, possible side reactions during AS-ALD of silicon nitride (SiNx) using organic small molecule inhibitors (SMIs) are investigated utilizing density functional theory (DFT) calculations. Complete removal of surface passivation group through reaction with the reactants is crucial for the deposition of thin films without carbon impurities. However, nitride materials such as SiNx often require high process temperature for thermal ALD, at which decomposition of precursors or inhibitors can occur. Then, the highly reactive chemical species resulting from the thermal decomposition can result in non-selective deposition regardless of surface inhibition. Furthermore, such reaction can result in formation of carbon-containing surface moieties that are significantly stable and resistant against removal, possibly leading to increased amount of carbon impurities. Therefore, our study suggest that the side reactions should be carefully considered for AS-ALD using SMIs.

AS-TuP-16 Effect of N2 Co-Flow During Area-Selective Atomic-Layer-Deposition of Al2O3
Sangjun Lee (Sungkyunkwan University (SKKU)); Changyu Park, Yong-Woo Choi, Seong Woo Jeong, Seong-Wook Hong (Sungkyunkwan University); Yunhee Cho, Hana Lee, Hyeji Kim, Andreas Klipp (BASF); Pil J. Yoo (Sungkyunkwan University); Hyoungsub Kim (Sungkyunkwan University (SKKU))

In semiconductor device manufacturing, accurate alignment of fine patterns is crucial for optimizing the chip’s overall performance. Edge placement error (EPE), which occurs during the via forming process in back-end-of-line metal wiring, leads to increased parasitic capacitance and degrading the reliability and performance of the device [1, 2]. To address this issue, area-selective deposition of dielectric films emerges as a possible solution. This technique selectively elevates the interlayer dielectric or intermetal dielectric between neighboring metal lines, thereby minimizing potential conductive paths and effectively reducing RC delays [3].

In this study, we enhanced the selectivity of Al2O3 atomic layer deposition (ALD) on dodecylphosphonic acid-treated Cu substrates by co-flowing N2 during Al-precursor (trimethylaluminum) injection. The selectivity significantly increased as the N2 flow rate was increased from zero to 200 sccm, prompting the utilization of various characterization techniques to determine the origin. Contact angle measurements were taken to compare the blocking capability of the self-assembled monolayer when exposed to both the precursor and N2. The selectivity was evaluated by X-ray florescence and X-ray photoelectron spectroscopy analyses. Additionally, possible changes in the dielectric constant of the Al2O3 film were examined by electrically characterizing the capacitors fabricated with Al2O3 films deposited using various N2 co-flow rates.

[1] J. Mulkens et al., Proc. SPIE 10145, 1014505 (2017).

[2] A. T. Ngo et al., IEEE Trans. Semicond. Manuf. 36, 1 (2023)

[3] G. N. Parsons et al., J. Vac. Sci. Technol. A 37, 020911 (2019)

Session Abstract Book
(355KB, Jun 24, 2024)
Time Period TuP Sessions | Topic AS Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule