ALD/ALE 2024 Session AM-TuM: Equipment Design, Precursor Delivery, and Spatial/R2R/Fast ALD

Tuesday, August 6, 2024 8:00 AM in Room Hall 3E
Tuesday Morning

Session Abstract Book
(293KB, Jun 24, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule

Start Invited? Item
8:00 AM Invited AM-TuM-1 Development of a Modular Manufacturing Equipment Architecture for Application Tailored Process Options
Jacques Kools (Encapsulix SAS)
The phenomenon of degradation of products by their sensitivity to undesired chemical reaction with the surrounding environment («aging»,«corrosion»,”tarnishing” etc.) is often a major factor limiting the useful lifetime of these products. Ultrabarriers mitigate these chemical reactions as they provide a diffusion barrier for the external reactant, which can vary from atmospheric water vapor and oxygen (e.g. for organic semiconductors in OLED and perovskite PV applications), to salt water in automotive or marine applications bodily fluids (which contain salt), ...etc. Among the different methods used for ultrabarrier deposition, ALD has been found to provide the best performance, due to the low defect density and 3D conformality of the coatings. Furthermore, the unique ability of the ALD method to manipulate the film material at the atomic level provides an exciting avenue to develop application-optimized barrier structures. Thereby it is interesting to combine the primary functionality (i.e. barrier suppressing an undesired chemical reaction) with secondary functionalities (antimicrobial, self cleaning, decorative,…). As a result, advanced ultrabarrier processes comprise a variety of Atomic Layer Processing (ALP) steps, such as preclean/etch/nanostructuring,, and multilayer/nanolaminate deposition plasma stress release/densification using a variety of metal precursors and oxidizing co-reactants. The combination of this cornucopia of process and associated equipment options, and the large spectrum of application requirements provides both an opportunity and a challenge in the transition from lab to fab: • For the chemical reactants supplier, novel (esp. more selective and sustainable) chemical production flowcharts will meet future industrial and legal requirements. • For the device maker, it now becomes possible to tailor an optimized ultrabarrier nanostructure to the specific requirements of their application, thus enabling industrial feasibility and creating possible IP in novel processing. • For the equipment supplier, the challenge is to minimize both non-recurring engineering and unnecessary complexity to ensure competitive equipment cost of ownership and reliability. In this talk, we will discuss how Encapsulix addresses these challenges through the development of a modular equipment system, which allows for easy equipment configuration, both prior to delivery and for future upgrades as needed to support a product roadmap. We will review some general architecture aspects , and discuss a number of application examples (e.g. IT, energy and medical)
8:30 AM AM-TuM-3 Optimizing Precursor Utilization for Spatial ALD in High Surface Area Substrates
Joost van Himste (SparkNano B.V.); Paul Poodt (SparkNano B.V. and Eindhoven University of Technology)

Atomic Layer Deposition is well known for its ability to deposit thin films inside high surface area and porous substrates, with precise control of the step coverage and thickness. For these reasons, the use of ALD for new applications is being developed, where this precise control of step coverage and thickness is essential. Examples include the deposition of precious metal electrocatalysts on high surface area catalyst supports for water electrolysis and fuel cells, and the deposition of very thin passivation layers inside Li-ion battery anodes and cathodes. A challenge is that these applications require a high deposition rate and high throughput way of doing ALD, often in a roll-to-roll mode, for which Spatial ALD can be the solution.

Using the precursor dose to control he step coverage and deposition rate inside porous substrates has been widely reported on in literature. The simplest way to increase the deposition rate is by increasing the partial pressure of the precursor. However, next to practical limits in precursor volatility, using high precursor partial pressures can lead to very low precursor utilization rates. With precursor prices ranging from a few $/gram to 100’s of $/gram, low precursor efficiencies are not feasible for most applications.

Here, we will show the results on modeling and experimental work on optimizing the precursor utilization efficiency during Spatial ALD on a variety of porous substrates. To objective is to minimize the precursor mass flow (e.g. in grams/hr) while ensuring a high enough precursor dose to realize the desired step coverage. By combining experiments and modeling on gas flows, precursor diffusion and surface reactions we can estimate optimized process conditions as a function of aspect ratio, surface area, required throughput, temperature and pressure. We will show that by carefully optimizing the precursor partial pressure and mass flow, precursor utilization efficiencies >90% are possible.

In cases where these high efficiencies are not attainable, or in case of very expensive precursors (e.g. containing precious metals), we have investigated the possibility to reclaim unreacted precursor for recycling. We will show results on precursor reclaim experiments, showing that reclaim efficiencies of up to 90% are feasible. By combining accurate process optimization and precursor reclaim, it is possible to perform ALD with extremely low precursor waste, which is an important enabler for high throughput and low cost Spatial ALD for a range of existing and new applications.

8:45 AM AM-TuM-4 Development and Scale-up of ALD onto Synthetic Graphite Powder in a Continuous Vibrating Reactor for Battery Applications
Brandon Castro (Forge Nano Inc); Andrew Broerman, Chris Gump, Arrelaine Dameron (Forge Nano)

As the demand for improved performance in battery material increases, development of large-scale, high-throughput ALD processes and equipment is necessary to meet production demands. Forge Nano has previously demonstrated ALD deposition onto natural and synthetic graphite over a range of scales (50 g – 50 kg) in both rotary bed and fluidized bed reactors. This process has now been successfully scaled-up to the highest throughput system (ton scale) with a continuous vibrating reactor (CVR). The CVR (and associated product Circe) is a spatial ALD system where the substrate powder travels down a porous deck, driven by vibration. Process gases flow at atmospheric pressure perpendicularly to the powder flow, up through the porous deck, and fluidize the moving powder bed and mixing the particles with the gases top to bottom in the process. The substrate travels through zones of the precursors and purge gases of an ALD cycle (Figure 1).

Reproducibility per batch and relative to successful graphite deposition criteria from smaller ALD batch systems was explored in a CVR system configured to perform 4 TMA/H2O ALD cycles. Commercial synthetic graphite was coated at a rate of 33 kg/h, in 45-50 kg batches, at 180°C. Precursor flow was controlled based on the calculated stoichiometry required to achieve 100% titration of available surface sites, as determined in experiments performed in small scale fluidized bed reactors. Samples of coated product were taken from the reactor effluent every 10 minutes. Effluent samples of replicate runs were analyzed using ICP to determine the Al loading, and to characterize the reproducibility of the coating process. For all runs, the deposition of Al was deposited with an average of 84 ± 13 ppm Al (Figure 2).The initial samples for a given run were typically lower in deposition, likely due to the system approaching steady state in terms of substrate and precursor flow. Additional tests with 150% of the calculated stoichiometric TMA flow rate showed the deposition to be self-limiting. Finally, coated material was recycled through the CVR to demonstrate reproducibility that higher deposition levels and bed height and speed conditions were explored to achieve higher material processing rates. Coated materials were tested in coin cells as anode powders for lithium-ion batteries, with performance being compared to coated graphite prepared via more traditional deposition in fluidized bed reactors under vacuum conditions.

View Supplemental Document (pdf)
9:00 AM AM-TuM-5 Ultra High Speed Spatial PEALD Using a Novel Precursor Separation Method
Eric Dickey (Lotus Applied Technology)

Spatial ALD has been shown to significantly improve deposition speed when compared with conventional temporal ALD, and spatial ALD reactors are now available from multiple equipment manufacturers for high-speed batch processing based on a rotating turntable configuration.For deposition of metal oxide films, most of these systems are based on a single metal precursor zone and a single oxidation zone, separated by differential flow and pumping.In this work, we characterize a plasma-based spatial ALD process in which the spatial “separation” of the ALD half reactions is achieved by using a different mechanism.Specifically, all of the gaseous material utilized for the oxidation step is exhausted into the larger overall volume of the reactor, which also contains the metal precursor vapor.Vapor phase interaction between the metal precursor and oxidant is prevented by the neutralization of the oxidation radicals on their path from the plasma to the overall volume of the reactor.This radical neutralization is achieved by enclosing the plasma electrode within a gas shroud having a high aspect ratio gas exhaust path into the chamber, allowing radical recombination in this exit path from the plasma.For some precursors that react with atomic oxygen, but not with ozone at the selected processing temperature, it is shown that merely by using this plasma gas exhaust configuration with molecular oxygen gas, effective separation is achieved.This is possible due to the rapid neutralization of atomic oxygen in the gas volume via recombination, given the relatively short mean free path at the pressure used for this process.For metal precursors that are reactive with ozone at the selected processing temperature, additional measures must be used to further enhance ozone depletion in the exhaust path.It is shown that by substituting carbon dioxide for oxygen used as the plasma gas, the amount of ozone exiting the shroud is reduced by orders of magnitude.For further reduction, an active catalyst is applied to the surface of the shroud in the gas exit path, which is shown to again reduce the amount of ozone exiting the shroud.Applying these principles, multiple plasma sources, including the radical neutralization feature, have been deployed in a rotating turntable spatial ALD prototype reactor, with a compact one-meter square footprint.This system demonstrates true PEALD processing of SiO2 at coating speeds in excess of 25 angstroms per second.

9:15 AM AM-TuM-6 An Innovative 3D Solution for High Throughput Roll-to-Roll ALD
Diederick Spee, Amr Ghazy (Kalpana systems)

ALD is currently the state-of-the-art thin film fabrication technology. Semiconductor industry relies on ALD in its manufacturing process [1]. However, the main drawback of the typical temporal ALD is the slow processing times. This has fuelled the creation of batch processing tools, and more importantly spatial ALD (S-ALD). In S-ALD the spatial separation between the precursor and the co-reactant replaces the time distinction of consecutive precursor pulsing, which results in saving processing times [2].

Roll-to-roll (R2R) Flexible substrates have become essential to next-generation applications including batteries, solar cells and OLEDs. It is also essential for packaging materials and barrier layers [3,4].Nevertheless, scaling up of roll-to-roll (R2R) S-ALD to a manufacturing level with high throughput has been challenging so-far.

The challenges entailed in making R2R S-ALD equipment compatible with high volume production environments are mostly related to throughput and up-time. To maximize both simultaneously, perfect precursor separation is necessary to avoid contamination. Particularly at high web speeds, i.e. high throughput can only be achieved with a small gap between deposition head and the substrate. This is challenging when using large, highly flexible substrates.

Kalpana Systems has developed equipment that combines an innovative web transport system with a moving deposition head (Figure S1), in such a way that the position of the substrate, relative to the deposition head, is controlled continuously and accurately.

In addition to providing compatibility with large scale production environments, our 3D machine configuration provides the possibility for deposition of complex layer stacks in one process and enables the efficient coating of porous and high aspect ratio substrates.

We will show our latest results on coatings for flexible PV, OLED and battery electrodes.

[1] J. Sheng, J-H. Lee, W-H. Choi, M.J. Kim J-S. Park, J. Vac. Sci. Technol. A 36, 060801 (2018)

[2] P. Poodt, D. C. Cameron, E. Dickey, S. M. George, V. Kuznetsov, G. N. Parsons, F. Roozeboom, G. Sundaram, A. Vermeer, J. Vac. Sci. Technol. A 30, 010802 (2012)

[3] A.S. Yersak, K. Sharma, J. M. Wallas, A. A. Dameron, X. Li, Y. Yang, K. E. Hurst, C. Ban, R. C. Tenent, S. M. George, J. Vac. Sci. Technol. A 36, 01A123 (2018)

[4] P. S. Maydannik, T. O. Kääriäinen, K. Lahtinen, D. C. Cameron, M. Söderlund, P. Soininen, P. Johansson, J. Kuusipalo, L. Moro, X. Zeng, J. Vac. Sci. Technol. A 32, 051603 (2014)

View Supplemental Document (pdf)
9:30 AM AM-TuM-7 A Novel Technique for Pulsed Liquid Source Vapor Delivery in ALD and Short-Pulse CVD
Kathleen Erickson, Tim Sandbakken (MSP - A Division of TSI)

The short processing times necessary for ALD and short-pulse CVD pose a unique challenge to precursor vapor delivery. Bubblers or ampoules in conjunction with a downstream ALD valve can be a straightforward solution; however mass delivery accuracy, adjustability and stability are known issues, which can create thin film irregularity and wafer-to-wafer variability. Additionally, if precursors are thermally sensitive, there can be issues with the liquid decaying in the heated ampoule over time. Direct Liquid Injection (DLI) is a solution to ampoule/bubbler concentration stability and thermal decomposition issues. However, historically, DLI systems have suffered from long liquid flow stabilization times which typically require liquid or vapor divert; wasting expensive liquid precursors, reducing pump lifetimes and reducing throughput. This paper presents an alternative to these two conventional techniques for ALD and short-pulse CVD vapor delivery via a high-speed Liquid Flow Controller (LFC), and a new liquid source vaporizer; exploring the possibility of using DLI for ALD/CVD applications without the use of liquid/vapor divert.

The LFC contains a custom engineered high-precision liquid flow sensor with a scan interval of 11.5ms, enabling liquid flow measurement of sub-1s pulses. The vaporizer has the capacity to effectively vaporize up to 12g/min TEOS (or equivalent), while at the same time having minimal dead and internal volume. The LFC remotely controls a piezo valve on the vaporizer, to provide faster liquid/vapor response. During this study, the LFC/vaporizer combination was used in two ways; ‘digital’ in which the piezo valve was fully opened at the start of the pulse and then fully closed at the end of the pulse, and ‘analog’ where the LFC controlled the position of the piezo-valve via a liquid flow-rate feedback loop during the pulse. LFC measured flow rate was monitored by a high-speed data acquisition system, and downstream vapor pressure pulses were measured for pulse height, pulse width, consistency and timing offset. In ‘digital’ experiments, both a piezo driver and the 2950 LFC were used to fully open/close the piezo valve. The ‘analog’ experiment included exploring several PID techniques including a variety of voltage offsets, both static and dynamic.

This presentation will briefly detail the hardware and experimental setup used. 25ms, 50ms, 150ms and 500ms liquid/vapor pulse data will be presented. Repeatability, key control criteria, and advantages and disadvantages will be discussed.
9:45 AM AM-TuM-8 In-situ Spectroscopic Ellipsometry During Spatial ALD of Al2O3, ZnO, and SnO2
Melika Motaghian, Mike van de Poll, Sinclair Ryley Ratnasingham (Eindhoven University of Technology); Hindrik de Vries (SALD B.V.); Paul Poodt (Eindhoven University of Technology); James N. Hilfiker (J.A. Woollam Co., Inc.); Erwin Kessels, Bart Macco (Eindhoven University of Technology)

Atmospheric-pressure spatial ALD (S-ALD) is a variant of conventional ALD which can achieve remarkably high deposition rates by moving the substrate at high speeds through different precursor zones that are spatially separated by an inert gas flow. The high through-put of S-ALD offers a great potential for scaling up the ALD processes, in particular, for green technologies such as photovoltaics, batteries and water electrolyzers. More than a decade of research on spatial ALD has led to successful application of S-ALD processes in industry. However to further commercialize S-ALD more material characterizations and process optimizations are needed to extend the material library of this method and introduce it to more applications.

In this work, we showcase the use of ultrafast in-situ spectroscopic ellipsometry (iSE) during S-ALD as a valuable tool for characterization and process development. In-situ metrology in general has been indispensable in conventional ALD for studying important aspects such as nucleation behavior and reaction mechanisms. Yet, for S-ALD such in-situ metrology is much less common, with a few reports on in-situ reflectometry, resistance measurements and exhaust gas analysis. This likely relates to the challenges associated with moving substrate, short timescales of cycles, and complicated geometry of the S-ALD reactor. However, in-situ metrology for S-ALD could help elucidate potential differences between conventional and spatial ALD due to e.g. widely different time- and pressure scales, and also help investigate unique aspects of S-ALD, such as co-injection of precursors for growth of compound materials. Additionally, using In-situ SE enables fast determination of saturations curves which significantly accelerates the process development.

Here we demonstrate that we can effectively perform iSE even for acquisition time of one second on our S-ALD tool using an ultrafast J.A. Woollam ellipsometer mounted adjacent to the deposition head. In terms of process development, we highlight that full saturation curves can be obtained in a single deposition run on a time scale of only a few minutes. Moreover, nucleation curve can be easily determined. This was done for common ALD processes for Al2O3, ZnO and SnO2. Having this iSE method for S-ALD of conventional binary oxides established, current work focuses also on studying and comparing for example the deposition of ternary oxides using either supercycles or co-injection of precursors.

View Supplemental Document (pdf)
10:00 AM Break & Exhibits
Session Abstract Book
(293KB, Jun 24, 2024)
Time Period TuM Sessions | Abstract Timeline | Topic AM Sessions | Time Periods | Topics | ALD/ALE 2024 Schedule