ALD/ALE 2021 Session LI-ALE-WeM3: Atomic Layer Etching Live Session II

Wednesday, June 30, 2021 9:30 AM in Room Live-3

Wednesday Morning

Session Abstract Book
(346KB, Jun 9, 2021)
Time Period WeM3 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

Start Invited? Item
9:30 AM LI-ALE-WeM3-1 Welcome, Thank Yous, & Instructions
Satoshi Hamaguchi (Osaka University); Angelique Raley (TEL Technology Center, America, LLC)
Thank you for attending and we hope you will enjoy the session!
9:35 AM LI-ALE-WeM3-2 Precise Atomic Layer Control of 2D MoS2 by ALE Technique for Device Applications
Geun Young Yeom (Sungkyunkwan University); Ki Hyun Kim, Ji Eun Kang (Sungkyunkwan University, Korea); Ki Seok Kim (Sungkyunkwan University, Korea (Republic of), Massachusetts Institute of Technology)

One of the two dimensional chalcogenide materials, MoS2, is widely investigated as potential next generation devices for photodetector, solar cell, biomedical devices, etc. especially due to the change of bandgap energy and the change from indirect bandgap to direct bandgap with the change of MoS2 layer thickness. Some of the important factors in the fabrication of various MoS2 devices are the precise control of the layer thickness and the n/p doping on the MoS2 layer surface. In this study, using atomic layer etching (ALE) method, MoS2 layer thickness was precisely controlled and the characteristics of the MoS2 heterojunction devices fabricated by the ALE methods were investigated. Also, using the precise ion bombardment energy used for the anisotropic ALE, the surface sulfur on MoS2 was preferentially removed and/or replaced with nitrogen, and their material properties and device characteristics were also investigated. This presentation will show some potential applications of ALE techniques for next generation devices using 2D materials including graphene, MoS2, MoSe2, etc.

9:55 AM LI-ALE-WeM3-6 Structural and Compositional Evolution of SiN Surfaces Under Low Energy Ar+ Bombardment
Erik Cheng, Gyeong Hwang (University of Texas at Austin); Peter Ventzek, Zhiying Chen (Tokyo Electron America)
In ALE of many materials, a low energy ion bombardment step is critical for achieving etch. The nature of the nonequilibrium chemistry happening under such conditions, however, has not yet been thoroughly examined. In this talk, we present our recent work utilizing density functional tight binding (DFTBMD) simulations to elucidate the underlying mechanisms governing the substrate-precursor-ion interactions in the ALE of silicon nitride (SiN) and the resulting film structure and composition. We have found that in the absence of adsorbed precursors, surfaces of all conditions (N-rich, Si-rich, amorphous, crystalline) tend to converge towards an amorphous Si-rich surface with many coordination defects. The Si:N ratio at the surface, which starts around 75%, linearly increases to 82% after 20 bombardments on a 15Å × 15Å surface, while the surface defect density grows from 0% to around 30%, with a predicted saturation around 50% based on a power law fit. The formation of this quasi-equilibrium structure can largely be attributed to the sputtering of H and N-containing moieties being strongly favored over the sputtering of Si-containing moieties. In the presence of an adsorbed monolayer, sputtering can be suppressed, but largely shows the same trends. Interestingly, in the presence of a fluorocarbon monolayer, relatively few N-C and Si-F bonds are formed, suggesting that reaction pathways for this process may not be in line with previously thought. Furthermore, the highly damaged surfaces due to ion bombardment have been seen to be far less reactive than previously expected. In this talk, we will also discuss the underlying reasons for these peculiar behaviors.
10:10 AM LI-ALE-WeM3-9 Demonstration of Atomic-Layer-Etching of SiO2 in a small-plasma-volume incorporating 162MHz CCP source and 27MHz substrate bias using CHF3 and Ar/O2
Cleo Harvey, Bert Ellingboe (Dublin City University)
Atomic-scale-processing control of SiO2 is one of the main requirements to achieve nanoscale device fabrication. Here, we demonstrate a self-limiting Atomic-Layer-Etching process of SiO2 in a large-area dual-frequency CCP using cyclic CHF3 and Ar/O2. The plasma reactor is a modification of an industrial low-volume CCP for 300mm wafer processing. The original upper grounded electrode is replaced with a multi-tile array excited differentially at 162MHz. Ion-energy is controlled via 27MHz power coupled to the electro-static-chuck and wafer. The ALE process involves alternating gases and VHF excitation for cyclic fluorocarbon deposition and etch-back. The unique plasma chemistry and low-energy sheath of high-VHF limits ion-driven surface mixing; this in turn promotes an etch plateau resulting in a broader process window with a fully-self-limiting removal half-cycle. In this study, we present the effect of VHF and LF power coupling on plasma parameters in the dual-excited reactor; namely electron density from hairpin probe, relative radical species density from OES, and ion energy effects inferred from sputter rates. The results provide insight into the operational window and favourable conditions to realise ALE behaviour in our experimental system. Thickness changes are measured by an in-vacuo Phase-Modulated-Spectroscopic-Ellipsometer (PMSE) and further validated in an ex-situ commercial ellipsometer. The atomic composition and chemical bonding structure of the deposited layers and the surface following etch-back are analysed by x-ray photoelectron spectroscopy (XPS). Following fluorocarbon deposition, etch-back thickness changes plateaus after 30 seconds, demonstrating fully-self-limiting removal process, and producing an etch-per-cycle of 0.4-0.5nm/cycle. Optical emission tracking of the CO etch by-product signal exhibits a decay and plateau time consistent with the active-etch-period. Surface composition of the SiO2 surface after several cycles of ALE by XPS does not show evidence of a carbon-rich (such as C-C bond) residue.
10:25 AM LI-ALE-WeM3-12 Vacuum Ultraviolet Enhanced Atomic Layer Etching of Metal Films
Xin Yang, Himamshu Nallan (University of Texas at Austin); Brennan Coffey (Lam Research); John Ekerdt (University of Texas at Austin)

Electroless Cu deposition is widely applied in nanofabrication processes as it can be incorporated into roll-to-roll processing and operated at low temperatures for flexible electronics. Cu patterns can be created using a base or noble metal catalyst (Pd, Ru, etc.) since the electroless deposition only happens where the catalyst is present. These patterns are used in flexible display technologies, such as transparent conductive electrodes. Since Cu nucleates on all catalyst present, fine control over catalyst growth regions is critical. Area selective atomic layer deposition (AS-ALD) is explored to create catalyst patterns. However, a shortcoming that AS-ALD suffers is finite selectivity, which leads to metal nucleation in undesired regions. We present an atomic layer etching (ALE) process to remove undesired metal clusters/islands after AS-ALD growth without significantly affecting the metal film thickness.

Low-temperature, plasma-free ALE of Pd, and Ru is demonstrated. Etching of Pd and Ru is achieved at 50 – 200 °C, with approximate material removal rates of 2.8 and 0.9 Å/cycle, respectively, which is characterized in situ using X-ray photoelectron spectroscopy and ex situ using X-ray reflectivity. The metal film/islands are co-exposed to vacuum ultraviolet (VUV) light (115 < λ < 400 nm) and O2 gas at 1 Torr for 2 – 5 min in the oxidation half-cycle. The amount of metal oxide formed in the near surface region can be controlled by the co-exposure time and substrate temperature. In the etch half-cycles, formic acid vapor is used to remove the metal oxides that formed without etching zero-valent metal. Atomic O is the main species responsible for oxidation from VUV/O2 co-exposure. Density Functional Theory modeling of atomic O interaction with the metals and diffusion into the metals is discussed. The flux of atomic O is important in the oxidation process to overcome the energy barrier to subsurface O diffusion, which limits the depth of oxidation. ALE of continuous and discontinuous Pd films is presented to illustrate how VUV - ALE can be used to remove undesired metal growth due to differing oxidation behavior between those two films. Specifically, we observe a minimum of 3 min is required to partially oxidize a 20 nm uniformly thick film, while a 2 nm film is fully oxidized after 3 min at same substrate temperature. This variation is likely due to the different exposed surface areas. This could be exploited to remove materials in undesired regions after or during area-selective metal ALD under mild thermal conditions.

Keywords: atomic layer etching, atomic oxygen, vacuum ultraviolet photons, metals

View Supplemental Document (pdf)
10:40 AM BREAK
10:50 AM LI-ALE-WeM3-17 Plasma ALE for Anisotropic and Isotropic Etching
Erwin Kessels (Eindhoven University of Technology, Netherlands); Adrie Mackus (Eindhoven University of Technology)

Plasmas provide the unique ability to etch materials through chemical and physical processes that can be tuned by the choice of plasma gases and the level of ion bombardment. For anisotropic etching, most ALE processes rely on a chemically inert Ar plasma in which the energetic ions remove the modified surface layer in a directional manner. Plasmas can also be used for isotropic ALE when the plasma is used to create chemically reactive neutral species (plasma radicals) under conditions in which ion bombardment is negligible. Under such circumstances, the ALE process can benefit from the high and diverse reactivity that can be obtained by plasmas enabling a wider range of etch chemistries than thermal ALE processes. Moreover, when using plasma-based processes, it is also possible to switch easily between anisotropic and isotropic etching which might be of interest for advanced feature control and other innovative etch processes that can become of interest.

In this presentation, two recent innovations will be discussed, one related to anisotropic ALE and one for isotropic ALE. For anisotropic ALE, very precise ion energy control through the so-called tailored-waveform biasing method will be presented. Although this method was first reported two decades ago, recently dedicated power supplies have become commercially available which is now being used in ALE processes [1]. The key advantage of this method that it leads to very narrow energy distributions for ion energies that can be precisely tuned between 20 and 200 eV such that chemical and physical sputtering thresholds can be probed and such that it is easier to work exactly in the ALE ion windows.For isotropic ALE, results will be presented as achieved by using a F-based plasma for the etching of oxides instead of HF. More in particular, isotropic ALE of Al2O3 will be demonstrated using a processes based on SF6 plasma exposure and Al(CH3)3 dosing [2]. It will be demonstrated that this process yields higher etch rates and lower processing temperatures than thermal ALE.

[1] T. Faraz, Y.G.P. Verstappen, M.A. Verheijen, N.J. Chittock, J. Escandon, E. Heijdra, W.J.H. van Gennip, W.M.M. Kessels and A.J.M. Mackus, J. Appl. Phys. 128, 213301 (2020).

[2] N.J. Chittock, M.J.F. Vos, T. Faraz, W.M.M. Kessels, H.C.M. Knoops and A.J.M. Mackus, Appl. Phys. Lett. 117, 162107, 213301 (2020).

11:10 AM LI-ALE-WeM3-21 Atomic Layer Etching of Gallium Nitride (Gan) Using SF6/Ar Plasmas
Lamiae Hamraoui, Thomas Tillocher, Philippe Lefaucheux, Rémi Dussart (GREMI CNRS/Université d'Orléans); Mohamed Boufnichel (STMicroelectronics)

Due to its excellent electrical properties, Gallium nitride (GaN) is a promising semiconductor for the next generation of high-power devices as for example, the normally-off high electron mobility transistors (HEMTs). Plasma etching of GaN is involved in the fabrication of such components but damages the material and degrades its electric properties. Hence, there is a high interest in the atomic layer etching (ALE) processes, which are expected to provide high precision and damage-free etching.

So far, most of the research activity carried out on GaN ALE, has been performed using chlorine based chemistry for the modification step. The idea is to form GaCl3, which is a quite volatile etch by-product [1], [2]. Fluorinated chemistries for ALE of GaN have also been studied to a lesser extent. For example, some authors have used a CF4/O2/Ar plasma mixture for the modification step in order to etch GaN [3]. Another team has reported on XeF2 reactants in the modification step of a thermal ALE process to create the modified layer at the surface [4].

In this work, an SF6 plasma is proposed for the modification step of GaN ALE. This process consists of cyclic SF6 plasma chemisorption step and Ar plasma removal step. SF6 plasma enables to form a layer of GaF3. This etch by-product is non-volatile and has a boiling point as high as 1000 °C at atmospheric pressure [5]. The GaF3 modified layer can be removed during the etching step under the effect of Ar+ ion bombardment. Since the Ar+ ion energy is adjusted so that unmodified GaN under-layers are not sputtered, self-limiting etching (SLE) can be achieved whereas the modified layer is depleted.

Tests were performed in an inductively coupled plasma (ICP) reactor. The influence of several parameters such as gas flow rates, modification and removal step times and bias voltage has been investigated. The etch depth and the surface roughness were measured using AFM.

GaN etched depth per cycle (EPC) increases slowly with the SF6 exposure duration. After 100 cycles, we measured an average EPC as low as 0.1 nm/cycle, which shows that less than a monolayer is etched per cycle in these particular conditions.

This work is supported by the European Union (IPCEI) and Région Centre through the Nano2022 project. The CERTeM 2020 platform has provided most of the equipment.

[1]T. Ohba et al, Jpn. J. Appl. Phys., vol. 56, no 6S2, p.06HB06, 2017

[2]F. L. Roux et al, Microelectron. Eng.,228-111328, 2020

[3]K. Nakazawa et al, vol. 2019 International Symposium on Dry Process, 2019

[4]N. R. Johnson et al, Appl. Phys. Lett., vol. 114, no 24, p.243103, 2019

[5]S. J. Pearton et al, J. Appl. Phys., vol. 86, no 1, p.1‑78, 1999

11:25 AM LI-ALE-WeM3-24 Selective Atomic Layer Etching between GaN and SiN by Using HBr Neutral Beam
Takahiro Sawada, Daisuke Ohori (Tohoku University, Japan); Kenta Sugawara (Sumitomo Electric Industries, Ltd.); Masaya Okada, Ken Nakata, Kazutaka Inoue (Sumitomo Electric Industries, Ltd); Daisuke Sato (Showa Denko K.K.); Seiji Samukawa (Tohoku University, Japan)

AlGaN/GaN high electron mobility transistors (HEMTs) are promising for both high-power and high-frequency applications because their two-dimensional electron gas (2DEG) has high saturation velocity, high mobility, and high carrier concentration with a high breakdown field. However, in GaN HEMT structures for 5G communications, it has been pointed out that damage during the etching process in the recess gate process is causing the degradation in device performance and reliability. In the recess gate etching process, the SiN insulating film on the cap layer should be etched, but it is necessary to etch only the SiN layer and eliminate the etching of underlaying GaN layer. Namely, an atomic layer etching with defect-free and reasonable SiN/GaN etching selectivity is required. In this study, we investigated higher selective etching between SiN and GaN using HBr neutral beam (NB) and found that it exhibited a more selective reaction compared to Cl2 NB.

The etching rate of GaN mainly depended on the desorption rate of the etching product (GaClx or GaBrx) assisted by the bombardment of NB. As a result, in the case of the HBr neutral beam, the GaN etching rate was drastically decreased at the atomic layer level because the vapor pressure of the etching product, GaBrx, was much lower than that of GaClx. On the other hand, the dominant etching progression of SiN was mainly caused by neutral beam bombardment energy, and the desorption of the etching products (SiClx or SiBrx) was almost the same in both cases because the vapor pressures of the etching product, SiClx and SiBrx. Especially, in the case of the HBr neutral beam, the neutral beam energy dependence of the surface reaction layer of SiN was larger than that of Cl2 NB. As a result, the etching selectivity between SiN and GaN in HBr NB improved to 2.1 at 10 W of bias power, whereas that with Cl2 NB was saturated at 0.41 at more than 10 W of bias power. We found that the surface atomic layer etching reaction could precisely control the desorption rate of the etching product by optimizing the neutral beam bombardment energy and gas chemistry. The HBr neutral beam process can achieve atomic layer level selective reactions on the SiN/GaN structure.

11:40 AM LI-ALE-WeM3-27 Study of Surface Damage Formation in Atomic Layer Etching of Si via Molecular Dynamics Simulation
Erin Joy Capdos Tinacba, Michiro Isobe, Satoshi Hamaguchi (Osaka University, Japan)

Etching of materials in atomic scale can provide a precise and highly controllable means to form nano-scale structures on a material surface. The atomic scale precision is especially desirable in etching of stacked materials such as those in self-aligned contacts. Such an etching process can be achieved by atomic layer etching (ALE), which has been widely studied to counter the challenges in manufacturing of ever-shrinking electronic devices in the semiconductor industry. A typical plasma enhanced ALE process is divided into two steps [1]. The first is the adsorption step, where a thin layer of the material’s top surface is modified by the deposition of highly reactive species. In the case of silicon (Si), a chlorine (Cl) plasma is typically used to supply Cl radicals to the surface, as Cl is known to diffuse less deeply into the bulk material. The second is the desorption step, where the modified Si layer is etched by non-reactive energetic ions (e.g., Ar+ ions) supplied by another plasma. Ideally, it is expected that no or very low damage is formed on the processed material surface by low-energy ion bombardment during the desorption step. However, there have been few published studies on the surface characterization of Si after ALE etching. In this study, molecular dynamics simulation was employed to examine the ALE of Si with Cl radicals in the adsorption step and Ar+ ions in the desorption step. Effects of low and high Cl radical and Ar+ ion doses as well as Ar+ ion energy (20 to 60 eV) on the etch per cycle (EPC) and surface damage were studied. The simulated EPC, 1.55 Å/nm, with low Cl radical dose (~0.14x1016 radicals/cm2) and 20 eV Ar+ ion energy is consistent with available experimental data [2].

References

[1] K. J. Kanarik, T. Lill, E. A. Hudson, S. Sriraman, S. Tan, J. Marks, V. Vahedi, and R. A. Gottscho, J. Vac. Sci. Technol. A 33(2), 020802 (2015).

[2] S. D. Park, D. H. Lee, and G. Y. Yeom, Electrochem. Solid ST. 8(8), C106 (2005).

11:55 AM BREAK
12:00 PM LI-ALE-WeM3-31 Patterning High Density STT-MRAM with a Novel Atomic Layer Etch Process
Samantha Tan, Wenbing Yang, Tamal Mukherjee, Ziad El Otell, Yiwen Fan, Ran Lin, Seokmin Yun, Keren Kanarik, Thorsten Lill, Yang Pan, Richard A. Gottscho (Lam Research Corporation)

Spin-Torque transfer magneto resistive random-access memory (SST-MRAM) has the benefits of a comparable integration density of DRAM and performance of SRAM, with its intrinsic characteristic of non-volatility, high endurance, and long retention time. STT-MRAM can directly be coupled with processors while simultaneously used as non-volatile storage, thus plays a variety of on-chip memory role in advanced VLSI technology.[1] However, SST-MRAM stacks are challenging to pattern since the stacks mainly consist of non-volatile ferromagnetic materials such as Co, Fe, Pt. Conventional reactive ion etch usually induces chemical damage to magnetic tunnel junction (MTJ) layers, which limits electrical performance.

Thermal ALE concept has recently been demonstrated to expand the pathway to chemically etch magnetic metals.[2] The process typically consists of two steps, oxidation or halogenation followed by ligand or organic vapor exposure to form volatile byproduct. J. Chang demonstrated a surface modification assisted organic chemical vapor etch for directional and isotropic etch of magnetic and noble metals, also developed a comprehensive framework for selecting viable chemistries.[3] R. Opila demonstrated Co thermal ALE with a sequential exposure to Cl2 and hexafluoro acetylacetone and its molecular mechanism.[4]

Here, we developed a plasma assisted chemical etch approach for Co that forms volatile etch by-product. Based on the chemical etch mechanism, we developed a novel atomic layer etch process for STT-MRAM patterning. Combining the novel etch chemistry, ALE takes the advantages of process control that minimizes MTJ damage with minimum I/D loading. This breakthrough on the etch process enables tight pitch MRAM patterning not only for embedded MRAM, but also for standalone memory.

[1] W. J. Gallagher et al., "Recent Progress and Next Directions for Embedded MRAM Technology," 2019 Symposium on VLSI Technology, Kyoto, Japan, 2019, pp. T190-T191, doi: 10.23919/VLSIT.2019.8776547.

[2] S. M. George, Mechanisms of Thermal Atomic Layer Etching, Acc. Chem. Res.2020, 53, 6, 1151–1160

[3] Chen, J. K.-C., Altieri, N. D., Kim, T., Chen, E., Lill, T., Shen, M., & Chang, J. P. (2017). Directional etch of magnetic and noble metals. II. Organic chemical vapor etch. Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films, 35(5), 05C305

[4] Konh, M.; He, C.; Lin, X.; Guo, X. Y.; Pallem, V.; Opila, R. L.; Teplyakov, A. V.; Wang, Z. J.; Yuan, B. Molecular Mechanisms of Atomic Layer Etching of Cobalt with Sequential Exposure to Molecular Chlorine and Diketones. J. Vac. Sci. Technol., A 2019, 37, 021004

12:20 PM LI-ALE-WeM3-35 Exploring Thermal Ale for Spin-Torque Majority Gate Applications
Jean-Francois de Marneffe (imec v.z.w.); Phong Nguyen (Air Liquide); Sebastien Couet, Laurent Souriau (imec v.z.w.); Nathan Stafford, Pallem Venkateswara (Air Liquide); Stefan De Gendt (imec v.z.w. / KULeuven, Dpt of Chemistry); Yann Canvel (imec v.z.w)

The spin-torque majority gate (STMG) [1] device is a competitive option for beyond-CMOS logic computing, enabling high density at low power consumption. In such a system, the information is stored in magnetic domain walls, i.e. interfaces separating regions with different magnetization direction. Based on quantum ‘exchange’ interactions between electrons, the domain walls propagate, interact, and the majority magnetization direction dominates. The majority gate device itself consists of a cross-shaped free layer that is common to 4 magnetic tunnel junctions (3 inputs, 1 output, all consisting of a so-called reference magnetic layer). The magnetization direction of the 3 ‘input’ free layers is switched using spin transfer torque, by flowing a current through each of the magnetic tunnel junctions. The output state is measured by tunneling magnetoresistance. Amongst multiple manufacturing challenges, the etching of the magnetic tunnel junction is the most difficult, as it requires to etch pillars containing non-volatile metals and land selectively on the MgO tunnel barrier, which is 1nm thick. To reduce damage to the MgO, the development of soft-landing options is essential. In the current paper, the thermal atomic layer etching of CoFeB is studied, by means of a cycling process combining first surface chlorination, followed by reaction with hexafluoroacetylacetone (hfacH) [2]. This soft-landing process demonstrates efficient removal of Co, Fe and B, and good selectivity to the underlying MgO dielectric. The impact of the process on the perpendicular magnetic anisotropy is also studied.

[1] D. M. Nikonov et al., IEEE Electron. Dev. Lett. 32, 1128 (2011)

[2] M. Konh et al., J. Vac. Sci. Technol. A 37 (2), 021004 (2019)

12:35 PM LI-ALE-WeM3-38 Topographic Selective Deposition (TSD) by Combining Plasma Enhanced Atomic Layer Deposition and Atomic Layer Etching Processes
Moustapha Jaffal, Gauthier Lefevre, Taguhi Yeghoyan, Thierry Chevolleau (LTM, France); Rémy Gassilloud, Nicolas Posseme (CEA-LETI, France); Marceline Bonvalot (LTM, France); Christophe Vallee (University Grenoble-Alpes)

Topographic selective deposition (TSD) processes are attracting a strong interest in the advanced manufacturing of increasingly complex semiconductor devices 1. For spacer definition, TSD can be obtained from a conformal deposition process on pitches and three-dimensional (3D) nanostructures using Plasma Enhanced Atomic Layer Deposition (PEALD), followed by a subsequent anisotropic etching process step of top and bottom deposits, in order to keep only vertical coatings on 3D feature sidewalls 2.This TSD route thus eliminates numerous and costly wafer-handling steps during the fabrication process and also avoids detrimental Edge Placement Errors (EPE) due to inherent limitation of photolithography3;4.

In this work, we investigate a strategy for depositing spacers using an original super-cycle process route, composed of a Ta2O5 standard PEALD deposition whereby cycling is intercalated by Atomic Layer Etching (ALE) process steps. The ALE process consists first of a CF4/H2 plasma chemical treatment and second of an in situ anisotropic low energy Ar+sputtering step of chemically modified Ta2O5 horizontal surfaces. This Two-step ALE process mitigates 3D substrate damages induced by energetic ion bombardment such as amorphization and horizontal surface roughness.

The optimization of both PEALD and ALE process steps has first been carried out on planar native Si substrates. It has then been transferred to 3D structures and led to a TSD on vertical sidewalls, as shown in Figure 1. This talk will outline the optimization strategies that we have developed for TSD and discuss the advantages and shortcomings of such a process. Special attention will be dedicated to cross contamination issues raised by the fluorine-rich ALE step.

1 C. Vallée, at al, J. Vac. Sci. Technol. A 38, 033007 (2020).

2 A. Chaker, at al Appl. Phys. Lett. 114, (2019).

3 G.N. Parsons, J. Vac. Sci. Technol. A 37, 020911 (2019).

4 R. Vallat, at al, J. Vac. Sci. Technol. A 37, 020918 (2019).

View Supplemental Document (pdf)
12:50 PM LI-ALE-WeM3-41 Surface Smoothing by Atomic Layer Deposition and Etching
Sven Gerritsen, Nicholas Chittock, Vincent Vandalon, Wilhelmus Kessels, Adriaan Mackus (Eindhoven University of Technology, Netherlands)

In striving to produce smaller nanoelectronic devices ever thinner films are needed, which increasingly requires control of film roughness and line edge/width roughness. ALD and ALE processing have separately been observed to reduce the roughness of surfaces.1,2 In this work, the mechanisms of surface smoothing by ALD and ALE are investigated, and the application of ALD + ALE for surface smoothing is discussed. The increased use of ALD and ALE provides the opportunity to better control the surface roughness while maintaining ultrathin film thicknesses.

Previous studies reporting on ALD/ALE smoothing effects ascribe the smoothing to conformal deposition/etching. In our work finite difference simulations were performed that model ALD/ALE as a uniform front from which the deposition/etching propagates isotropically at every point (similar to Huygens–Fresnel principle in optics). These simulations illustrate enhanced deposition in valleys due to geometrical constrains and the rounding of sharp peaks, together leading to a reduction in small scale roughness.

The smoothing effect described by the uniform front propagation model was validated by AFMmeasurements of Al2O3 films prepared by ALD from TMA/O2 plasma and isotropic ALE from TMA/SF6 plasma.3For ALD excellent agreement was seen, but comparatively faster smoothing was observed for ALE, which reveals that a second mechanism contributes to the smoothing. The enhanced smoothing for ALE is explained by considering that the fluorination of the film depends on the local curvature, corresponding to a higher concentration of fluorine at peaks and a lower concentration in valleys.

An extended model that includes both uniform front propagation and curvature-dependent fluorination showed good agreement between simulation and experimental results. This extended model enabled the evaluation of different strategies for using ALD + ALE to smoothen surfaces. The benefits of combining ALD + ALE to smoothen surfaces will be discussed, showing that significant smoothing can be obtained for relatively thin films.

(1)Elam et al., Thin Solid Films414, 43 (2002)

(2)Zywotko et al., J. Vac. Sci. Technol. A 36, 061508 (2008)

(3)Chittock et al., Appl. Phys. Lett. 117, 162107 (2020)

View Supplemental Document (pdf)
1:05 PM LI-ALE-WeM3-44 In Situ Analysis on Atomic Layer Etching of Al2O3
Johanna Reif, Martin Knaut, Sebastian Killge, Matthias Albert, Johann W. Bartha, Thomas Mikolajick (Technische Universität Dresden)

Controlled thin film etching is essential for future semiconductor devices, especially with complex high aspect ratio structures. Atomic layer etching (ALE) is a technique that can remove materials precisely with atomic layer control based on sequential, self-limiting surface reactions. ALE is considered to be one of the most promising techniques for achieving the low process variability at atomic-scale. In this work, the thermal ALE (tALE) process to etch Al2O3 using TMA as the metal precursor for ligand exchange and HF as the fluorination reactant was examined. For an in situ and real-time access to the etch process, the process chamber was equipped with a spectroscopic ellipsometer. Spectroscopic ellipsometry (SE) has widely served as a powerful non-invasive method to monitor time-continuous as well as time-discrete atomic scale processes in situ and even in real-time. While the ALE process modifies chemical compositions of the surface through a material removal, SE can observe these changes in the electronic structure of the surface in situ and in real-time. The SE measurements enabled the study of etch characteristics in dependence of process parameters like pulse time, process pressure, and substrate temperature. Additional, the utilized reactor was clustered to an ultra-high vacuum analytic system for direct surface analysis like X-ray photoelectron spectroscopy (XPS) and scanning probe microscopy (SPM). The combination with a non-destructive analytic system provided unadulterated information about the chemical composition and roughness during the process. The high sensitivity of these measurements allowed investigations of interface reactions even for a single ALE pulse as well as analysis of the initial etch mechanism. Our studies observed linear thickness decrease versus the number of ALE cycles during the tALE process. The etch per cycle (EPC) increased from 0.92 Å/cyc at 50 Pa to 1.31 Å /cyc at 200 Pa. The fluoride thickness was observed to increase with process pressure, resulting in more etching during the ligand-exchange reaction with TMA. We investigated the transition between AlF3 ALD at lower process temperatures and Al2O3 ALE at higher temperatures. The EPC varied from -0.4 Å/cyc at 200 °C to +1.38 Å/cyc at 350 °C. Additional analysis demonstrated the self-limiting behavior of the surface reactions. Furthermore, we examined the initial-stage etch of Al2O3 using in vacuo XPS measurements. In our presentation, we will show in detail that the combination of in situ and in vacuo studies leads to a better understanding of the ALE process and the reaction mechanism in the sub monolayer regime during tALE.

View Supplemental Document (pdf)
1:20 PM LI-ALE-WeM3-47 Announcement of ALE and ALD Student Awardees, Closing Remarks & Thank Yous
Angelique Raley (TEL Technology Center, America, LLC); Satoshi Hamaguchi (Osaka University, Japan); Thorsten Lill (Lam Research Corp.)
Thank you for attending the ALD/ALE 2021 Virtual Meeting! We will see you at ALD/ALE 2022, June 26-29, 2022, Ghent, Belgium.
Session Abstract Book
(346KB, Jun 9, 2021)
Time Period WeM3 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule