ALD/ALE 2021 Session LI-ALD-WeM1: Selective Deposition (AS) Live Session

Wednesday, June 30, 2021 9:30 AM in Room Live

Wednesday Morning

Session Abstract Book
(341KB, Jun 9, 2021)
Time Period WeM1 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

Start Invited? Item
9:30 AM LI-ALD-WeM1-1 Welcome, Thank Yous & Instructions
Matti Putkonen (University of Helsinki)
Thank you for attending today's session. We hope you will enjoy the session!
9:35 AM LI-ALD-WeM1-2 Another Opportunity in Area Selective Atomic Layer Deposition using Precursor Inhibitors
Han-Bo-Ram Lee (Incheon National University, Republic of Korea)

Area-selective atomic layer deposition (AS-ALD) is envisioned to play a key role in next-generation nanofabrication for Si devices. Basics concept of AS-ALD is that an original surface is changed to activated or deactivated surfaces toward following ALD reactions, resulting in selective growth in one substrate. Although self-assembled monolayers (SAMs) have been successfully introduced for the change of surface properties and showed promising results in the early study of AS-ALD, they still have several disadvantages for high volume manufacturing (HVM), such as the low thermal stability and pattern interference from their inherent size. Several years ago, our research group has suggested that many ALD precursors could be used for an inhibitor of AS-ALD by utilizing their adsorption selectivity on surfaces and reaction selectivity with counter reactant. Because the inhibitor, itself, is a precursor, it has high compatibility in HVM and multifunctionality between the roles of inhibitor and precursor. In this presentation, various types of precursor inhibitors studied in our group will be summarized and another opportunity of our AS-ALD will be discussed. A combined process of AS-ALD and atomic layer etching (ALE) was studied by designing process sequence and combination of inhibitor and counter reactants. In addition, another concept of selective deposition by using a homogeneous precursor inhibitor was proposed for thickness control of ALD thin films inside 3D structures. The chemical and physical interactions of inhibitors with precursors were successfully explained through theoretical calculations by density functional theory (DFT)and Monte Carlo simulation. The results could provide insights for the next generation patterning process using ALD.

9:55 AM LI-ALD-WeM1-6 Direct Deposition of High-resolution 3D Nanostructures by Atomic-Layer Additive Manufacturing (ALAM)
Sarah Tymek (Friedrich-Alexander-University Erlangen-Nürnberg (FAU)); Ivan Kundrata (ATLANT); Maissa Barr (Friedrich-Alexander-University Erlangen-Nürnberg (FAU)); Philipp Wiesner, Maksym Plakhotnyuk (ATLANT); Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg (FAU))

Confining spatial ALD (atomic layer deposition) laterally to a spot with a size in the micron range allows one to perform ALD cycles by repeated passes of the deposition head above the substrate. The pattern defined by the motions of the deposition head may be arbitrarily complex. This concept allows for the definition of deposits in three dimensions in the manner of classical additive manufacturing (3D printing). However, the vertical resolution of the shapes generated is defined by the surface chemical principles of ALD, and therefore is on the order of single atoms. The lateral resolution depends on the printing head and the gas flows and is currently on the order of hundreds of µm.

We have demonstrated the self-limiting behavior of this atomic-layer additive manufacturing (ALAM) procedure for several materials. Under atmospheric conditions, the deposition of TiO2 occurs with the same growth per pass as in conventional ALD. The cross-section of a deposit exhibits a horizontal surface and sharp edges. The self-limiting behavior of the surface chemistry is maintained. As an example of a noble metal, Pt grows in a highly crystalline and even oriented form. Air-sensitive precursors such as the metal alkyls can be handled safely in aerobic conditions, and the growth of Al2O3 and ZnO occurs with familiar characteristics.

Thus, ALAM is a novel method allowing for the direct generation of multimaterial structures without the need for preliminary or subsequent patterning. The combination of several materials in not only lateral juxtaposition but also vertical arrangement further enables one to use sacrificial deposits and generate complex three-dimensional structures.

10:10 AM LI-ALD-WeM1-9 The Relation between Reactive Surface Sites and Precursor Choice for Area-Selective Atomic Layer Deposition
Marc Merkx, Athanasios Angelidis, Jun Li (Eindhoven University of Technology); Dennis Hausmann (Lam Research Corp.); Erwin Kessels (Eindhoven University of Technology); Tania Sandoval (Universidad Técnica Federico Santa Mariá); Adriaan Mackus (Eindhoven University of Technology)

Small and volatile molecules are of interest as alternative inhibitor for area-selective ALD to better meet the requirements for industrial processing.[1,2] However, due to their size and lack of surface ordering, it is much more challenging to achieve the high surface packing density that is required to block precursor adsorption through steric hinderance (i.e. physical shielding). In this work, we explore the central question whether area-selective ALD with high selectivity requires; (i) physical shielding through steric effects, (ii) chemical passivation by eliminating the reactive surface sites required for precursor adsorption, or (iii) a combination of both physical shielding and chemical passivation. At the same time, we investigate why some precursors are more difficult to block than others.

Precursor blocking was studied using in-situ infrared (IR) spectroscopy for trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), tris(dimethylamino)aluminum (TDMAA), and bis(diethylamino)silane (BDEAS) precursors using acetylacetone (Hacac) as inhibitor. It was found that TMA can adsorb on both isolated and H-bonded (i.e. vicinal) OH groups, whereas DMAI, TDMAA, BDEAS and the Hacac inhibitor only adsorb on isolated OH groups. Correspondingly, it was found that blocking TMA adsorption is much more challenging as compared to the other precursors. In addition, the IR spectra show a consumption of vicinal OH groups when dosing TMA on a Hacac-functionalized Al2O3 surface, which indicates that TMA can adsorb on the vicinal OH groups in between the Hacac inhibitor molecules. Taken together, it can be concluded that precursor blocking is more effective if the inhibitor and precursor adsorb on the same surface sites during area-selective ALD. To achieve this required overlap it is vital to carefully consider the choice of the precursor.

[1] A. Mameli, M.J.M. Merkx, B. Karasulu, F. Roozeboom, W.M.M. Kessels, A.J.M. Mackus, ACS Nano11, 9303-9311 (2017).

[2] R. Khan, B. Shong, B.G. Ko, J.K. Lee, H. Lee, J.Y. Park, I.K. Oh, S.S. Raya, H.M. Hong, K.B. Chung, E.J. Luber, Y.S. Kim, C.H. Lee, W.H. Kim. H.B.R. Lee, Chem. Matter.30, 7603-7610 (2018)

View Supplemental Document (pdf)
10:25 AM LI-ALD-WeM1-12 Diffusion-Mediated Ruthenium Area-Selective Atomic Layer Deposition in Nanopatterns
Jan-Willem J Clerix, Esteban A Marques, Job Soethoudt (KU Leuven / imec); Fabio Grillo (ETH Zurich); Geoffrey Pourtois (imec); J Ruud Van Ommen (TU Delft); Annelies Delabie (KU Leuven / imec)

Area-selective deposition (ASD) opens up new avenues in the fabrication of next-generation nanoelectronic devices, as this bottom-up approach can complement conventional top-down lithographic patterning. However, a major challenge is defectivity due to the undesired growth of nanoparticles on the non-growth area. In this work, we model Ruthenium ASD by (ethylbenzyl)(1-ethyl-1,4-cyclohexadienyl)Ru/O2 Atomic Layer Deposition (ALD) with Kinetic Monte Carlo (KMC) methods to investigate the ASD mechanism on line-space nanopatterns with different dimensions. ASD is governed by adsorption as well as diffusion, and diffusion of Ru adatoms and nanoparticles from the non-growth to growth surface becomes more important when pattern dimensions decrease. A key feature of the Ru ALD process is the size-dependent mobility and reactivity of Ru nanoparticles. Nanoparticles that are too small to catalyze O2 dissociation do not grow via precursor adsorption, but they diffuse and aggregate with each other or at the interface with the growth area. This results in nearly full depletion of Ru on the non-growth pattern for widths of 50 nm and smaller for a large number of cycles, as all Ru adspecies are captured at the growth interface before growth by adsorption is catalysed and before they become immobile. In addition, we observe a depletion zone near the interface with the growth area for patterns with larger dimensions. Overall, this mechanism results in smaller and fewer Ru nanoparticles on the non-growth surface, which is highly beneficial for etch-based defect mitigation (Figure 1). These predictions are supported by the experimental observation of reduced defectivity on the non-growth area near the interface with the growth area in nanoscale line-space patterns (Figure 2). We provide a promising outlook for defect mitigation for Ru ASD by passivation/deposition/etch cycles and for Ru ASD in nanopatterns with even smaller dimensions.

View Supplemental Document (pdf)
10:40 AM BREAK
10:50 AM LI-ALD-WeM1-17 Area-Selective CVD of Metallic Films on Oxide Substrates With Acidic or Basic Hydroxyl Groups
Laurent Souqui (University of Illinois at Urbana-Champaign); Zhejun Zhang (Applied Materials); Sumeng Liu (University of California - Riverside); Elham Mohimi (LAM Research); Greg Girolami, John Abelson (University of Illinois at Urbana-Champaign)

Area-selective deposition of thin films affords the maskless propagation of existing substrate patterns in bottom-up fabrication of microelectronic or nanoscale devices. This can be achieved in low temperature chemical vapor deposition by identifying precursors, sometimes in combination with added inhibitors, that exhibit greatly varying nucleation delays – very short on the desired growth surface, and very long on the intended non-growth surface.Then, a growth interval can be found such that the desired film thickness is deposited on the growth surface, while few to no nuclei form on the non-growth surface.

Focusing on the deposition of metals on oxides by CVD, we investigated how selectivity for Mo, Ru, Fe and Co can be achieved between oxides of different acidity, and also between metals and oxides. We found that Fe(CO)5, Mo(CO)6 and Ru3(CO)12 afford the deposition of metal or metal carbide films on acidic oxides, but that growth is inhibited on basic oxides. On the contrary, Co2(CO)8 nucleation is faster on basic oxides than on acidic oxides. The opposite reactivity of the two carbonyl precursors towards oxide surfaces is attributed to differences in the kinetic stability of reaction intermediates on the surfaces. Additionally, the selectivity of Co2(CO)8 can be further enhanced by exposing the surface to NH3. Finally, selectivity against metal surfaces can be achieved by first oxidizing the metal surface, then performing CVD, and finally reducing the oxide to restore the original metal surface.

11:10 AM LI-ALD-WeM1-21 Inhibiting Plasma Enhanced Atomic Layer Deposition of SiO2 on Cu using Thiol Multilayers in an ABC Cycle
Rohit Narayanan Kavassery Ramesh, Wanxing Xu, Ryan Gasvoda (Colorado School of Mines, USA); Xinjian Lei, Bhushan Zope, Haripin Chandra, Robert Ridgeway, Xuezhong Jiang, Guo Liu, Ravindra Kanjolia, Agnes Derecskei, Ronald Pearlstein (EMD Electronics, USA); Sumit Agarwal (Colorado School of Mines, USA)

Atomic layer deposition (ALD) offers the potential for area-selective deposition of patterned structures to enable a bottom-up fabrication of semiconductor devices. Area-selective ALD can be achieved by selectively attaching a blocking molecule to a specific surface. SiO2 ALD is unique in the sense that O3 or an O2 plasma is preferable for high throughput and cleaner process: Halogenated silane such as SiCl4 and H2O/pyridine process yield pyridinium salt as byproduct. The need for O3 or O radicals for growth makes the use of organic blocking layers to inhibit growth challenging as hydrocarbons get rapidly combusted in the presence of O radicals. In this study, we explore area-selective, O2-plasma-assisted ALD of SiO2 on SiO2 while inhibiting growth on Cu with fluorinated thiols that are resistant to combustion.

The surface species during the selective ALD on the growth (SiO2) and nongrowth (Cu) surfaces was monitored using in situ reflection-absorbance infrared spectroscopy (RAIRS). SiO2 ALD was done at 100 °C using di-sec-butyl-aminosilane (DSBAS) as the Si precursor and a remote O2 plasma. The nongrowth surface, CMP Cu with the native oxide (CuOx),was functionalized with 1H,1H,2H,2H-perfluorodecanethiol (Step A)at 100 °C. The in situ infrared spectrum of the Cu surface after functionalization showed a sharp increase in absorbance in the CF2 stretching region (~1250 cm-1) indicating thiol attachment to CuOx. The functionalized Cu surface was then exposed to DSBAS (Step B) and O2 plasma (Step C). The corresponding infrared spectra showed no surface O-SiH3 species after the DSBAS cycle but indicated removal of 10-15% of the thiol after the O2 plasma cycle. To maintain the inhibitor coverage on the surface, it was redosed in the subsequent cycle. This A-B-C type ALD process (A: PFDT, B: DSBAS, C: O2 plasma)(see Fig. 1(a) and (b)) was repeated for 35 cycles, which yielded ~6 nm of growth on the SiO2 surface. No evidence of SiO2 deposition on the Cu surface was observed after 35 A-B-C cycles with RAIRS and x-ray photoelectron spectroscopy (XPS), as shown in Fig. 1 (c) and (d), respectively. Characterization of surface morphology with atomic force microscopy (AFM) revealed no evidence of damage or roughening of the Cu surface. After ALD, the inhibitor on the Cu surface was decomposed by high temperature annealing followed by cleaning of the residue with a proprietary formulation. The XPS data after cleaning indicated that Cu surface was reduced with no detectable SiO2, as shown in Fig. 1 (e) and (f). Thus, PFDT was not only able to protect the Cu surface in an O2 plasma process, but also inhibited the growth of SiO2 on the Cu surface.

View Supplemental Document (pdf)
11:25 AM LI-ALD-WeM1-24 Sequential Area Selective Deposition of Poly(3,4-ethylenedioxythiophene) (PEDOT) and Tungsten on SiO2/Si-H Substrates
Hwan Oh, Jung Sik Kim, Seung Keun Song, Gregory N Parsons (North Carolina State University)

In nanopatterning, area-selective deposition (ASD) is gaining attention as a promising vapor-phase “bottom-up” process to overcome challenges such as edge-placement errors (EPEs) and high costs associated with the conventional “top-down” approaches.1 However, to date, most ASD processes have addressed only individual dielectric or metal depositions. Accordingly, co-compatible integration of multiple ASD steps is desired for future advanced device fabrication. We have recently demonstrated a sequential ASD of titanium dioxide (TiO2) and tungsten (W) films on SiO2/Si-H line patterned substrates based on the understanding of two individual ASDs, i.e., TiO2 ASD2 (on SiO2 vs. Si-H) and W ASD3 (on Si-H vs. SiO­2).

In this work, we have extended the established concept to polymeric dielectric and metal pairs, i.e., a sequential ASD of poly(3,4-ethylenedioxythiophene) (PEDOT) and W on SiO2/Si-H substrates (Scheme 1). In the PEDOT step, inherent PEDOT ASD on SiO2 vs. Si-H was performed using 3,4-ethylenedioxythiophene (EDOT) as a monomer and antimony pentachloride (SbCl5) as a volatile liquid oxidant via oxidative chemical vapor deposition (o-CVD). This process led to a thickness of ~ 13 nm PEDOT films with 10 s of o-CVD while maintaining selectivity above 0.9 (Figure 1). In the W step, W ASD on Si-H (after o-CVD) vs. PEDOT deposited on SiO2 was conducted using sequential exposure of silane (SiH4) and tungsten hexafluoride (WF6) via atomic layer deposition (ALD). Herein, PEDOT films on SiO2 serve as a blocking layer against W deposition, and selective deposition of W films on Si-H (after o-CVD) was confirmed by X-ray photoelectron spectroscopy (XPS) (Figure 2).

More systematic studies, for example, the origin of selectivity and film properties such as roughness and conductivity, will be investigated in the future. This concept will be demonstrated on the nm-scaled pattern substrates. Overall, we anticipate our results to offer insight into developing a more advanced process pathway to integrating multiple ASDs of polymeric low-k dielectrics and metal.

View Supplemental Document (pdf)
11:40 AM LI-ALD-WeM1-27 Atomic Precision Advanced Manufacturing and Lessons for Area-Selective Deposition
Scott Schmucker, Esther Frederick, Quinn Campbell, Jeffrey Ivie, Evan Anderson (Sandia National Laboratories, USA); Kevin Dwyer (University of Maryland, College Park); Andrew Baczewski, George Wang (Sandia National Laboratories, USA); Robert Butera (Laboratory for Physical Sciences); Shashank Misra (Sandia National Laboratories, USA)

Area-selective chemisorption templated by lithographic desorption of monatomic functional groups on semiconductor surfaces has enabled a technology termed Atomic Precision Advanced Manufacturing (APAM). Among other things, APAM can be used for ultra-doping Si with n-type and p-type dopants from gaseous precursors and it is a technology extensible to other surface reactions relevant to atomic layer deposition (ALD).These gas-phase precursors are commonly hydrogenated or halogenated molecules (e.g. PH3, AsH3, B2H6, AlCl3) delivered to Si(100) in ultrahigh vacuum.Within this environment, it is possible by surface characterization (e.g. scanning tunneling microscopy (STM)) and DFT modeling to understand the chemical interactions between the precursor and reactive surface sites.Additionally, electron-stimulated desorption (ESD) via STM can selectively desorb atoms from the resist at scales ranging from Angstroms to microns; thereby, dopants are precisely placed by selective chemisorption in depassivated areas in countable quantities or in two-dimensional ultra-doped films.

A distinctive advantage of APAM surface templating is the high selectivity it can realize.In the case of PH3 on Si(100) 2×1, a hydrogen resist can provide selectivity >1000:1.One enabling factor is a link between process development and surface science.As one example, the most common defect on the Si(100) 2×1:H surface is an isolated dangling bond where a single H atom is missing. Dissociative chemisorption of PH3 can be impeded on this defect and appropriate thermal treatment can remove reaction biproducts from the surface before dopants are incorporated into the Si lattice, preserving selectivity.

Although historically focused on dopants in semiconductors, the surface reactions of APAM are largely equivalent to ALD half-cycles.By surface functionalization and ESD, surface reactivity can be controlled for area-selective ALD. Here, we discuss efforts to generalize APAM technology to common ALD co-reactants, extend APAM beyond group-IV substrates, and assess ALD selectivity on APAM resists.

SNL is managed and operated by NTESS under DOE NNSA contract DE-NA0003525.

11:55 AM LI-ALD-WeM1-30 The Role of Precursor-Inhibitor Interactions in Area-Selective Atomic Layer Deposition
Tania Sandoval (Universidad Técnica Federico Santa María)

Area-selective deposition by surface deactivation has been an extensive area of research. Strategies for deactivation include the use of polymers, self-assembled monolayers, and most recently, small molecules. These inhibitor molecules act to block deposition on the non-growth area; however, the mechanisms by which selectivity is achieved and more importantly, how it is lost are still poorly understood. ASD processes are often plagued by low selectivity. Some important requirements for a good inhibitor molecule include strong adsorption and high coverage on the non-growth area, and weak (or none) interactions with the growth area, and with the incoming precursor. In the case of small inhibitor molecules, precursor-inhibitor interactions have been found to depend on the adsorption mechanism and adsorbate configurations of the inhibitor molecule, where adsorption configurations that lead to unreacted functional groups are more prone to be displaced and contribute to selectivity loss.[1]

In this presentation, theoretical studies on the fundamental understanding of the interactions between precursor and small inhibitor molecules, and the mechanism of selectivity loss will be discussed. The precursors trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), tris(dimethylamino)aluminum (TDMAA), and bis(diethylamino)silane (BDEAS) and inhibitor molecules acetylacetone, acetic acid, and tetramethylheptanedione were studied. The results showed that the formation of hydrogen bonds between unreacted functional groups of the inhibitor and incoming precursor lead to stronger interactions than the cases where all functional groups of the inhibitor reacted with the non-growth surface. Moreover, the results for TMA showed a higher probability to displace the inhibitor molecule than the other precursors tested, due to the more favorable interactions with the different adsorbed inhibitor configurations. Overall, this study provides fundamental insight into the importance of considering both the precursor and inhibitor molecule in achieving maximum selectivities.

[1] M.J.M. Merkx, T.E. Sandoval, D.M. Hausmann, W.M.M. Kessels, A.J.M. Mackus, Chem. Matter. 32, 3335-3345 (2020)

12:15 PM BREAK
12:30 PM LI-ALD-WeM1-37 Spatially Controlled Atomic Layer Deposition within Polymer Templates for Multi-Material Nanorods and Nanowires Fabrication
Rotem Azoulay, Tamar Segal Peretz (Technion, Israel)

Today’s nanofabrication techniques require multistep and costly processes in order to fabricate complex, multi-materials nanostructures. Performing atomic layer deposition (ALD) within polymeric templates can offer a simple solution for nanostructure fabrication. In this process, named sequential infiltration synthesis (SIS), high partial pressures and long exposures times lead to inorganic materials growth within polymers. Sequential polymer removal results in polymer-templated inorganic nanostructure. While SIS shows great potential in fabricating large variety of structures, it is currently limited to a single material growth process.

In this research, we demonstrated, for the first time, multi-material SIS process with control over the spatial location of each material and fabricate heterostructure nanorods and nanowires. We studied SIS within self-assembled block copolymer (BCP) films and electrospun polymer fibers and developed multi-material SIS, where two metal oxides are grown together in a single process, with precise control over their location within the polymer template. We used cylinder forming poly (styrene-block-methyl methacrylate) (PS-b-PMMA) films and electrospun PMMA as the polymeric template and DEZ (diethyl zinc), TMA (trimethyl aluminum) and TiCl4 (titanium tetrachloride) as the organometallic precursors. We achieved control over the growth location of each metal oxide by tuning the organometallic precursors diffusion time, forming heterostructures after polymer removal. A short exposure of the first precursor resulted in a limited growth only at the outer part of the polymer, while a long exposure of the second precursor enabled it to reach the full depth of the polymer besides the section which was already occupied by the first precursor. An exposure to water completed the cycle. We demonstrated this process on BCP films to achieve AlOx-ZnO nanorods arrays (Figure 1) and on polymer fibers to achieve AlOx-ZnO fibers. We performed structural characterization using scanning and transmission electron microscopy (SEM and TEM, respectively) to characterize the nanowires and nanorods as well as three-dimensional characterization scanning TEM (STEM) tomography and energy-dispersive X-ray spectroscopy (EDS) STEM tomography in order to probe the structure and the chemical composition in 3D (Figure 2). This research opens new pathways for multi-materials nano scale structure fabrication through ALD-based growth within polymers.

View Supplemental Document (pdf)
12:45 PM LI-ALD-WeM1-40 Maskless Patterned Spatial ALD for Thin-Film Encapsulation
Corné Frijters (SALDtech B.V.); Yves Creyghton (Holst Centre / TNO); Paul Poodt (SALDtech B.V.)

Atmospheric pressure Spatial ALD is able to deliver high deposition rates while maintaining the advantages of conventional ALD, such as low defect density, high conformality and thickness uniformity. First industrial applications of Spatial ALD include passivation of c-Si solar cells and roll-to-roll manufacturing of flexible barrier foils. An emerging application for Spatial ALD is flat panel (OLED) displays. Examples include semiconductor and dielectric layers for use in thin-film transistors, and thin-film encapsulation for flexible OLED displays. As today’s displays are fabricated using glass panels in the order of several square meters, a remaining challenge is the development of large-area Spatial ALD deposition technology that is able to combine high throughput with uniform performance across very large areas.

Encapsulation of OLED devices requires thin, dense films with very low pinhole densities and water vapor transmission rates. In most cases, the materials used are dielectric (e.g.SiN and Al2O3) and deposition of these materials on electrical interconnects placed next to the OLED device is undesirable. In case PECVD or PVD is used to deposit encapsulation layers, shadow masks can be used to prevent deposition on the interconnects. However, shadow masks will not work in combination of ALD as there will be substantial deposition under the mask as ALD is a high step coverage method.

We are developing a mask-less, plasma enhanced Spatial ALD method to do direct patterned deposition, only on the devices and prevent deposition in between. It uses a similar approach to patterned deposition as used in slot-die coating, by combining intermittent coating with stripe coating to deposit rectangular patterns of tunable dimensions. Essential is the use of an atmospheric pressure plasma source that can be switched on and off efficiently to pattern the deposition. The main challenge is to achieve sharp transitions between film and no film, where the target is to reach a transition width of approximately 200 µm, similar to the transition width achieved in e.g. PECVD in combination with shadow masks.

We will explain how we do mask-less patterned deposition and how the transition width can be minimized through a combination of optimizing both the ALD process as well as the spatial ALD injector design. Finally we will show results of mask-less patterned deposition by Spatial ALD on substrate sizes as large as 30 cm x 40 cm and explain how we will scale up to substrate sizes of 1.5 m2 and beyond.

View Supplemental Document (pdf)
1:00 PM LI-ALD-WeM1-43 Y2O3 Atomic Layer Deposition Process Scale-Up to Very Large Batch Size
Lassi Leppilahti, Paula Päivike, Mikael Saarniheimo, Sami Sneck (Beneq)

Yttrium oxide (Y2O3) thin film coatings have shown great potential as fluoride plasma resistant protective coatings on semiconductor process equipment parts. Many of these parts are large with complex designs often containing high-aspect-ratio gas channels making them challenging to coat. Conformal, dense and pinhole free ALD coatings overcome these challenges and enable more stable processes, greater yields, and longer service times between maintenance. Here we report the results from a study on the very large batch scalability of ALD yttrium oxide. 30 mock-up showerhead parts were coated in a Beneq P800 reactor at 250 °C using tris(methylcyclopentadienyl)yttrium ((MeCp)3Y) and water (H2O) as the precursors. The reaction chamber was loaded with the mock-up showerhead parts slotted in 15 stacked frames. The total surface area of the reaction chamber inner surfaces, frames and substrates was 22.1 m2 without considering the surface roughness. The film quality was evaluated on silicon pieces cut from blank wafers spread throughout the reaction chamber. Preliminary ellipsometry results showed ±8 % 1σ within-batch non-uniformity. The growth-per-cycle ranged between 2.13 Å/c and 2.54 Å/c. The high growth per cycle is believed to be caused by the strong water adsorption on the yttrium oxide surface resulting in a CVD-like component in the deposition process. A handful of characterisation techniques were used to determine the film composition. ToF‑ERDA showed the film was close to stoichiometric Y2O3. LA‑ICP‑MS analysis revealed the film contained little impurities. XRD confirmed the microstructure was cubic yttria. This contribution describes the process scale-up in more detail, discusses the related challenges and summarises the latest results.

View Supplemental Document (pdf)
1:15 PM LI-ALD-WeM1-46 Low Temperature Thermal Atomic Layer Deposition of Elemental Tellurium Using and a Novel Tellurium Precursor and Nitrogen-Based Coreactants
Apoorva Upadhyay (Wayne State University); Keenan Woods (Applied Materials); Mark Saly, Thomas Knisley (Applied Materials Inc.); Charles Winter (Wayne State University)

Phase change memory (PCM) is made possible by the large difference in resistivity between the amorphous and crystalline forms of certain materials. Heating either form by light pulses or electrically leads to cycling between the amorphous and crystalline states. The most widely studied PCM is Ge2Sb2Te5 (GST), because of its non-volatility, scalability, fast conversion between amorphous and crystalline states, and extremely long service life. There is considerable interest in the atomic layer deposition (ALD) growth of GST, because of the thickness control and conformal coverage and also because some properties of GST are improved in thinner films (rate of amorphous to crystalline state interconversion). Previous ALD growth chemistry has relied largely upon silyl elimination reactions between halides or alkoxides and Sb and Te trialkylsilyl compounds. While these ALD routes have provided desired thin films, they target specific stoichiometric compositions such as GeTe, GeSb, Sb2Te, Sb2Te3, and others. There is recent interest in controlling the element compositions in GST beyond the Ge2Sb2Te5 composition, to adjust properties such as crystallization temperatures and resistivities. Moreover, many of the currently available Ge, Sb, and Te ALD precursors have low thermal stabilities, which limit their upper deposition limits often to temperatures below 100 °C. This issue is most acute with Te precursors, since the electrochemical potential of Te ion is most positive among the three element ions (E° Te4+ ↔ Te = 0.568 V; E° Ge2+ ↔ Ge = 0.24 V; E° Sb2O3 + 6 H+ +6 e- ↔ 2 Sb + 3 H2O = 0.152 V). Herein, we will describe the ALD of elemental tellurium (Te) films using novel tellurium precursors and nitrogen sources such as hydrazine. These precursors presumably form Te3N4, which is unstable and loses N2 to afford elemental Te. Properties of these tellurium precursors will be described. A liquid Te precursor with a decomposition temperature of 257 °C was identified from this screening. ALD studies revealed growth temperatures between 75 and 200 °C, although highly crystalline, rough films were obtained at >110 °C. The growth rate was 0.10 Å/cycle at substrate temperatures of 75 to 100 °C. X-ray diffraction showed elemental Te in the hexagonal phase. The composition of the film was evaluated using X-ray photoelectron spectroscopy and showed high purity Te.

1:30 PM LI-ALD-WeM1-49 When Complex Becomes Complicated – Strategies for Succeeding with Arduous Ternary Oxide Processes
Henrik Soensteby (University of Oslo)

Atomic layer deposition (ALD) has been an invaluable technique in the miniturization of electronic components over the last 15 years. As continued downscaling is pushing silicon technology to its inherent physical limits, the community is looking for new materials, technologies and architectures to inherit the Si-hegemony. With ALD being a mature deposition technique in electronics industry, it is in a unique position to take part in new paradigms within microelectronics. A requirement is that ALD can provide processes for new functional and technologically relevant materials under conditions that are attainable to the microelectronics industry.

Complex oxides come in a wide variety of flavours. High-k dielectricity, ferroelectricity, memristivity and metallicity are believed to be important properties in future electronics and can all be found in different complex oxides, e.g. SrTiO3, BaTiO3, SmNiO3 and LaNiO3, respectively. Unfortunately, deposition of high quality films of complex oxides, with a few exceptions, have been limited to physical techniques such as pulsed laser deposition and molecular beam epitaxy.

With recent progress in ALD of complex oxides, however, the ALD toolbox of functional materials is growing fast. Concurrently, this has lead to increased understanding in the growth- and control mechanisms of ternary+ oxides. This includes effects of precursors, temperature, sub-cycle arrangements, co-reactants, substrates etc.

In this talk, I will discuss how and why a range of variables affect complex oxide ALD. I will convey some strategies that have allowed us to develop a broad range of complex oxide processes, and try to generalize in a set of tips and tricks for complex process development. Complex does not have to be complicated, but thoughtful process development is a requirement to succeed!

1:50 PM LI-ALD-WeM1-53 Announcement of ALD and ALE Student Awardees, Closing Remarks & Thank Yous
Scott Clendenning (Intel)
Thank you for attending the ALD/ALE 2021 Virtual Meeting! We will see you at ALD/ALE 2022, June 26-29, 2022, Ghent, Belgium.
Session Abstract Book
(341KB, Jun 9, 2021)
Time Period WeM1 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule