ALD/ALE 2021 Session LI-ALD-TuM2: ALD Applications (AA) Live Session

Tuesday, June 29, 2021 9:30 AM in Room Live-2

Tuesday Morning

Session Abstract Book
(373KB, Jun 9, 2021)
Time Period TuM2 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

Start Invited? Item
9:30 AM LI-ALD-TuM2-1 Welcome, Thank Yous & Instructions
Jolien Dendooven (Ghent University)
Thank you for attending and we hope you will enjoy the session!
9:35 AM LI-ALD-TuM2-2 ALD Growth of Low Work Function Metal Gate for FinFET Technology
Jinjuan Xiang, Chao Zhao (Institute of Microelectronics, Chinese Academy of Sciences); Yuqiang Ding (Chemical and Material Engineering, Jiangnan University); Chongying Xu (Nata Opto-electronic Material Co., Ltd.); Liyong Du (Chemical and Material Engineering, Jiangnan University); Junfeng Li, Xiaolei Wang (Institute of Microelectronics, Chinese Academy of Sciences)

As the feature size of CMOS device shrinks to 22 nm technology node, Fin field-effect-transistor (FinFET) structure with higher aspect ratio is introduced, resulting in difficulty for conformal deposition of gate stack on the 3D channel surface. Atomic layer deposition (ALD) is considered as the best way to conquer the above challenge. Plasma enhanced ALD of low work function metal has been studied for the N-type metal. The plasma during the ALD deposition might bring damage to the underlying dielectric, which might lead degradation to the device performance. Our works developed a thermal ALD method of growing low work function metal TiAlC and TaAlC without plasma. The lowest effective work function can be 4.24 eV. In this paper, thermal ALD of Al-containing alloys TiAlC and TaAlC are systematically investigated, including the deposition conditions, the material properties of the resultant metal and the effective work functions. It is demonstrated that the thermal ALD TiAlC or TaAlC films are promising gate metal candidates for FinFET device of 14nm technology node and beyond.

9:55 AM LI-ALD-TuM2-6 Atomic Layer Deposition of GeTe/Sb2Te3 Superlattice for Large-Capacity and Low-Power Phase Change Memory
Chanyoung Yoo, Eui-sang Park, Woohyun Kim, Jeong Woo Jeon, Wonho Choi, Byongwoo Park, Gyuseung Han (Seoul National University); Yoon Kyeung Lee (Jeonbuk National University); Cheol Seong Hwang (Seoul National University)

Despite the extensive research and available commercial memory products based on the phase-change-material (PCM), the advantages of Ge-Sb-Te alloy PCM for high-density and low-power memories have not been fully accomplished. This is primarily due to the current PCM architecture that relies on cross-point (X-point) arrays. The X-point cannot keep up with the ultra-high density demands of low cost per bit due to the increased fabrication cost by the lithography steps and masks required for each stacking layer. It can be best solved with the state-of-the-art vertical architecture, which is a proven technology with more than 150 layer stacks in V-NAND technology. To fabricate highly integrated vertical crossbar array (V-CBA) memory, the Ge-Sb-Te phase change layer should be deposited conformally on the etched sidewalls in this vertical architecture, and thus, Atomic Layer Deposition (ALD) technique is essential. Meanwhile, the high power consumption of the Ge-Sb-Te PCM during the writing operation is also a remaining challenge. Simpson et al.1 suggested a GeTe/Sb2Te3 superlattice material as a substitute to solve such an issue. They argued that resistance change could be achieved with a lower current by flipping the Ge atom between octahedral sites and lower-coordination sites at the superlattice layers' interface.

This work demonstrates the development GeTe/Sb2Te3 superlattice film utilizing ALD to achieve high-performance V-CBA memory devices. The GeTe/Sb2Te3 superlattice thin film was successfully deposited as in Figures 1 and 2, where the out-of-plane directions of the two-component layers precisely matched the c-axis, while the in-plane directions were random. The superlattice films showed seven times (Figure 3) lower RESET than the conventional Ge2Sb2Te5 alloy films, with the mushroom memory cell configuration. The reduction of RESET current with the alignment of the GeTe/Sb2Te3 superlattice films was also feasible in the vertical structure, showing the potential of the current process for the V-CBA memory of the GeTe/Sb2Te3 superlattice.

References[1] R. E. Simpson et al., Nature nanotechnology, 6, 501-505 (2011)[2] E. Park et al., Chemistry of Materials, 31, 8663-8672 (2019)[3] E. Park et al., Chemistry of Materials, 31, 8752-8763 (2019)

View Supplemental Document (pdf)
10:10 AM LI-ALD-TuM2-9 Atomic Layer Deposited p-type SnO Thin Films with c-axis Preferred Orientation and the Associated Device Applications
Hye-mi Kim, Su-Hwan Choi, Hyun-Jun Jeong, Jin-Seong Park (Hanyang University, Korea)

Tin monoxide (SnO) films were fabricated by atomic layer deposition method using a N,N’-tert-butyl-1,1-dimethylethylenediamine stannylene (II) and deionized (DI) water as a precursor and reactant, respectively. In this study, we investigated film properties of SnO by annealing temperature with the focus on the relation of the crystal structure and phase transition with electrical characteristics. As annealing temperature was increased, phase transition occurred from SnO to SnO2. Based on the experimental results such as the band gap, crystal structure, film composition, band structure, we conclude that 300℃ is optimized temperature in film properties which shows preferential growth in (001) plane. The highest hall mobility is observed (5.01 cm2/Vs) at the 300℃ annealed temperature which may results from the reported low effective hole mass (mh*) along the [001] direction. Also, 400℃ is critical temperature for considering that the phase transition starts at the temperature from SnO to SnO2. SnO channel based staggered bottom gate structure TFTs were fabricated and nitrogen annealing was followed. SnO TFTs showed p-type switching performance, when the device is exposed to the annealing condition of 300℃, in terms of threshold voltage (5.1±0.5 V and 1.7±0.4 V), field-effect mobility (6.0±0.0 cm2/Vs and 4.9±0.2 cm2/Vs), sub-threshold swing (4.6±0.2 V/decade and 4.4±0.2 V/decade) with high on-current/off-current ratio (2.7 x 102 and 2.5 x 102), at drain voltage is -0.1 V and -10.1 V, respectively. Also, threshold voltage shift was obtained during positive bias stress (+2.5 V) and negative bias stress (-7.7 V) at drain voltage is -10.1 V and gate bias stress is ±10 V. Additionally, observed conducting or degraded transfer curves in other annealing conditions indicates that understanding the film properties by annealing sequence leads to achieve improved p-type TFT fabrications.

10:25 AM LI-ALD-TuM2-12 Atomic Layer Deposition of Highly Conductive Co9S8 Thin Films Using Diamine Adducts of Cobalt(II) Halides and H2S
Miika Mattinen (Eindhoven University of Technology); Timo Hatanpää, Kenichiro Mizohata, Jyrki Räisänen, Markku Leskelä, Mikko Ritala (University of Helsinki)

ALD of metal sulfides has enjoyed a renaissance in the past decade, with some tens of new processes and 17 new binary sulfides reported since 2010.[1] Many of the processes deposit semiconducting sulfides such as MoS2, SnS2, and ZnS. However, for a multitude of applications, high electrical conductivity and/or metallic behavior are preferred. Cobalt pentlandite, Co9S8, is a highly conductive sulfide material that has shown promise as an electrode in a variety of energy applications ranging from water splitting to rechargeable batteries, supercapacitors, and solar cell electrodes. So far, only one ALD process using an expensive cobalt amidinate precursor has been reported in the literature, which results in films with a rather high resistivity of 3–5 mΩcm.[2]

We have developed a new Co9S8 ALD process using a recently reported[3] CoCl2(TMEDA) (TMEDA = N,N,N`,N`-tetramethylethylenediamine) precursor with H2S at 180–275 °C. The highest growth rate (~0.6 Å/cycle) and best film properties including the lowest amount of impurities (~3% total) and resistivity (ρ ≈ 70 μΩcm) are achieved at 275 °C. We have also evaluated a variety of similar cobalt precursors with different halide and diamine adduct ligands that exhibit adequate thermal properties as ALD precursors and afford Co9S8 growth with H2S. All of these precursors can be easily synthesized using inexpensive starting materials.

The CoCl2(TMEDA) + H2S process enables growth of conductive films as thin as approximately 3 nm on silicon with native oxide. The process is also inherently selective, such that at least a 5 nm thick film can be grown on native silicon oxide with negligible growth on Si-H. Besides SiO2, films can be grown on a range of substrates. We have also deposited the first epitaxial Co9S8 films in the literature using a hexagonal (0001)GaN substrate. The deposited Co9S8 films exhibit excellent thermal stability under a N2 atmosphere up to at least 750 °C. The ability to deposit thin, continuous, highly conductive, and thermally stable Co9S8 films suggests that our process may be interesting for metal barrier and seed layer as well for various energy applications.

[1] Atomic Limits ALD Database, accessed 22.1.2021, https://www.atomiclimits.com/alddatabase/

[2] Li et al., Nano Lett., 2015, 15, 6689.

[3] Väyrynen et al., Chem. Mater., 2018, 30, 3499.

View Supplemental Document (pdf)
10:40 AM BREAK
10:50 AM LI-ALD-TuM2-17 ALD-Oxide Materials and Surface Modification for Next-Generation PV Devices
Nathanaelle Schneider (Institut Photovoltaïque d'Ile de France)

Zinc oxide is an extensively studied n-type semiconductor for various applications such as light emitting devices, detection of chemicals or solar cells, which often needs to be doped, modified or protected.

Doped-ZnO films can replace conventional transparent conductive oxides (TCO), especially in high aspect ratio structures. Silicon nanowire (Si NW) solar cells are interesting PV technology but challenging to contact.1 Use of ALD-Ti:ZnO (TZO) as the top electrode for Si NW solar cells has proven the applicability of ALD-TZO as TCO and the unique capabilities of ALD, showing superior optoelectrical properties, conformally covering the Si NWs, and yielding a PV diode behavior with external quantum efficiency response surpassing ITO.2

In other cases, it can be beneficial to modify the properties of the ZnO surface by the grafting of organic molecules.3 ALD-ZnO surfaces were modified by phosphonic acid derivatives with different spacer and functionalizing groups (2-AEPA, 4-ABzPA and 4-FBzPA), characterized, used to passivate the reactive ZnO/perovskite interface and solar cell devices were prepared.4

Finally, ZnO-based films often need to be protected to prevent their degradation. Al:ZnO (AZO) window layer is reported as the primary component responsible for the degradation of CIGS solar cells. The feasibility to prevent AZO degradation and encapsulate module-level (10×10 cm²) CIGS solar devices by a 10 nm ALD-Al2O3 barrier layer was demonstrated.5 However, solar panels in field operation are also exposed to various chemical air pollutants such as in (NH4)2SO4 in rural, and NaCl in marine environments. Their effects were studied by placing AZO w/ and w/o encapsulation in specific climatic test conditions. This demonstrated the necessity to consider atmospheric chemistry when evaluating barrier protection capacities of encapsulants and assessing the durability of PV materials and devices.6

1 S. Misra, L. Yu, M. Foldyna, and P. Roca i Cabarrocas, IEEE J. Photovolt. 5, 40 (2015).

2 D. Coutancier, S.-T. Zhang, S. Bernardini, O. Fournier, T. Mathieu-Pennober, F. Donsanti, M. Tchernycheva, M. Foldyna, and N. Schneider, ACS Appl. Mater. Interfaces (2020).

3 H. Jiang, T. Li, X. Han, X. Guo, B. Jia, K. Liu, H. Cao, Y. Lin, M. Zhang, and Y. Li, ACS Appl. Energy Mater. 3, 1111 (2019).

4 O. Fournier, C. Darin Bapaume, D. Messou, M. Bouttemy, P. Schulz, F. Ozanam, L. Lombez, N. Schneider, and J. Rousset, (submitted).

5 S.-T. Zhang, M. Guc, O. Salomon, R. Wuerz, V. Izquierdo-Roca, A. Pérez-Rodríguez, F. Kessler, W. Hempel, T. Hildebrandt, and N. Schneider, Sol. Energy Mater. Sol. Cells 222, 110914 (2021).

6 S.-T. Zhang, A. Maltseva, G. Herting, J.-F. Guillemoles, N. Schneider, I. Odnevall Wallinder, and P. Volovitch, (submitted).

11:10 AM LI-ALD-TuM2-21 Particle Atomic Layer Deposition as an Effective Way to Enhance the Li-S Battery Energy Density
Mato Knez, Sarai Garcia (CIC nanoGUNE)

Lithium-sulfur (Li-S) batteries are the most promising candidates for succeeding lithium ion batteries. However, there exist some challenges which should be faced to increase its commercial possibilities. Those include detrimental mechanisms during operation (e.g. shuttle effect, low capacity retention, anode corrosion, etc.) and sulfur cathode processing limitations (e.g. poor mechanical stability of S cathodes, defects, low S loading, etc.).

From the industrial perspective, sulfur cathode manufacturing should be improved as uniform and highly sulfur-loaded cathodes are required in order to ensure energy densities above 400 Wh·kg-1, to become commercially competitive. The sulfur cathode manufacturing limitations are related to solvent evaporation upon drying, which commonly results in a significant cathode volume reduction. It provokes the formation of cracks and pores in the cathode, which negatively affect the electrochemical performance of the cell. This issue has been addressed by several strategies, including (i) varying the binder nature and content, (ii) gluing microporous nanoparticles into secondary particles of few microns, and (iii) introducing conductive polymers. Even immobilizers and physically confining structures have been developed, but in most of the cases, those materials tend to significantly increase the weight and volume of the cathode, thereby reducing the energy density of the Li-S battery.

Atomic layer deposition (ALD) with alumina (Al2O3) has been applied to sulfur cathode surfaces to improve the electrochemical behavior of Li-S technology as it minimizes discharge product dissolution and hence, it reduces detrimental mechanisms during operation. However, the improvement of sulfur cathode processing remains unsolved. Even high-cost approaches have been proposed in the state-of-the-art which unfortunately are not viable for realistic applications. In this work, for first time atomic layer deposition (ALD) in a fluidized bed reactor (FBR) is carried out to coat sulfur-carbon (S/C) composite particles with Al2O3 prior to electrode manufacturing. The present approach provides a precise control of S/C composite agglomeration, which improves sulfur cathode processing while maintaining the well-known satisfactory operational mechanisms related to Al2O3 coating. We report on the FBR-ALD technique as a promising strategy for fabricating high specific energy density Li-S batteries with an increased sulfur loading, being double of that obtained for a standard sulfur cathode (3.6 vs. 1.8 mgsulfur cm-2).

11:25 AM LI-ALD-TuM2-24 Advanced ALD Technologies Platform to Enable Future Applications and Scaling & Review of Emerging Applications for Sensors, Mems, Energy Harvesters, Transparent Electronics and Coated Powder for Composites
Noureddine Adjeroud (Luxembourg Institute of Science and Technology (LIST))

The Luxembourg Institute of Science and Technology (LIST) proposes a large atomic layer deposition (ALD) platform with complementary tools for the development of new coating processes and the improvement of existing ALD processes. The platform allows processing of various types of inorganic or organic substrates, flat or 3D objects, flexible substrates and powders by FBR (Fluidized Bed Reactor) with up-scaling capabilities. The ALD platform is completed by other thin film deposition facilities, by cleanroom microfabrication capabilities to realize functional chips, and by a characterization platform (SEM-EDS, ellipsometry, contact angle, XRD, XPS, SIMS, AFM, UV-Vis-NIR spectrophotometry, Raman, photoluminescence, I(V), Resistivity) for quality control of the deposited thin films. This abstract is aiming to present ALD activities of our Technologies Platform covering the requests and specifications from fundamental research partners on breakthrough materials to the development and small production processing for industrial partners. A selection of ALD developments will be presented in the framework of LIST research and development projects addressing Lithium ion battery application (Co2O3), MEMS (MicroElectroMechanical Systems) and sensors (Figure 1), Energy Harvesters (Figure 2), Transparent Conducting Oxides such as ZnMgO, ZnSnO, ZnAlO, for transparent electronics (Figure 3), Example of Ag nano wires covered with conformal ALD ZnO film (Figure 4) and fillers’ coating for composites (5). We are finishing a project that is looking at novel Aluminium nitride (AIN) film to be used in innovative energy harvesting applications, by the means of thermal ALD and Plasma-Enhanced ALD (PEALD). We developed a library of different thin films and multilayers processes including oxides (SnO, ZnO, TiO2, Al2O3, SiO2, MgO), nitride (AlN, TiN), metals (Ag, Co, Ni) (Figure 5) aiming both fundamental Research and applicative systems. Example of powder coating by FBR will be given (Figure 6).

View Supplemental Document (pdf)
11:40 AM LI-ALD-TuM2-27 Molecular Layer Deposition of Li-Ion Conducting "Lithicone" Solid Electrolytes
Eric Kazyak, Minjeong Shin, William S. LePage, Tae H. Cho, Neil Dasgupta (University of Michigan, Ann Arbor)

Atomic layer deposition (ALD) and its organic equivalent molecular layer deposition (MLD) have gained widespread attention as ultrathin functional materials in batteries, and have also been applied for interfacial coatings to stabilize electrode-electrolyte interfaces in battery systems. In particular, there is great interest in developing ALD/MLD films that are ionically-conductive and electronically insulating, which enables their use as solid electrolytes for either thin-film solid-state batteries or “artificial SEI” layers. MLD provides an important potential benefit over ALD films, due to improved mechanical compliance. MLD films are especially beneficial for interfacial coating of high-volume expansion electrodes and solid-state batteries. However, despite these promising characteristics, there have been only a few Li-containing MLD films reported to date, and no previous reports of ionic conductivity in MLD films.

In this work, we demonstrate the fabrication of Li-containing thin films (“lithicone”) prepared via MLD, process using lithium tert-butoxide and ethylene glycol as precursors [1]. We demonstrate that the lithicone film grows in a self-limiting manner, using both spectroscopic ellipsometry and in situ quartz crystal microbalance (QCM) measurements. The evolution of the film morphology was revealed using glovebox-integrated atomic force microscopy (AFM) analysis, which eliminates artifacts from air exposure. Glovebox-integrated X-ray photoelectron spectroscopy (XPS) measurements show that the bonding environment of lithicone films has C-O-Li moieties, which is distinct from that of lithium carbonate or alucone MLD films. To demonstrate the feasibility of using lithicone as a solid electrolyte or ionically conducting interlayer materials, the ionic conductivity of lithicone was measured systematically. The annealed lithicone film shows ionic conductivity of 3.6–5 × 10−8S cm−1 with activation energy of ~0.6 eV. The measured electronic conductivity of the films was 5-6 orders of magnitude lower than the ionic conductivity, resulting in a transference number greater than 99.999%, and demonstrating that the lithicone films act as a solid electrolyte. This is the first quantified measurement of Li-ion conductivity in MLD thin film to-date, and will serve as a springboard to develop advanced organic/inorganic hybrid thin films for use in future battery applications.

[1] E. Kazyak, M. Shin, W. S. LePage, T. H. Cho, N. P. Dasgupta, Chem. Commun. 56, 15537 (2020)

View Supplemental Document (pdf)
11:55 AM LI-ALD-TuM2-30 Atomic-Level Precision at Large Scale: Opportunities and Challenges of ALD
Fatemeh Hashemi (TNO Science and Industry, the Netherlands)

Atomic layer deposition (ALD) is a well-established method for the deposition of a variety of materials at a large scale and in industrial processing. While there are ever-growing efforts in the ALD community on the development of deposition materials with new properties and employing new chemistries, the well-developed processes have been scaled-up through innovative equipment designs, advanced precursor chemistries, and deposition methods.

In this talk, I will highlight some of the applications of ALD at large-scale including semiconductor electronics, powder coating, and photovoltaics (PV) manufacturing. I will focus on some basic concepts regarding the different design configurations and equipment that allow performing these processes and how the fundamental lab-scale studies in these areas have helped with accelerating the large-scale thin film deposition. To better understand the opportunities and challenges of ALD in these areas, I will discuss three specific studies including concepts related to area-selective ALD (AS-ALD) of metal oxides, nanoparticle deposition, and metal oxide barrier coating for solar cells.

First, I will highlight the advantages that the AS-ALD process brings to the large-scale fabrication of semiconductor electronics, recent efforts in the lab-scale focusing on metal-oxide deposition via ALD and the limitations that the field is facing. I will then briefly highlight the powder coating technology and what ALD can bring to the game, the state of the art, and the limitations. Lastly, I will show some examples of metal-oxides ALD as barrier or passivating layers in PV, specifically thin-film silicon solar cells, and how a combination of smart ALD process design with other state-of-the-art large-scale thin-film deposition techniques such as pulsed laser deposition (PLD) can result in high surface passivation and improved efficiency for silicon solar cells at large-scale. One example that I will focus on is the case of alumina ALD development for thin film PV which gave a boost to the PERC technology (Passivated Emitter Rear Cell) and opened up the industrial potential of ALD in PV.

12:15 PM BREAK
12:30 PM LI-ALD-TuM2-37 ALD in Photovoltaics: From Extremely Thin to Ultrathin Layers, Physical Insight, and Chemical Methods Development
Pascal Büttner, Dirk Döhler, Yuanyuan Cao, Vanessa Koch, Florian Scheler (Friedrich-Alexander-University Erlangen-Nürnberg (FAU)); Craig Pointer (Lehigh University); Sofia Korenko, Maïssa Barr, Ignacio Mínguez-Bacho (Friedrich-Alexander-University Erlangen-Nürnberg (FAU)); Elizabeth R. Young (lehigh University); Julien Bachmann (Friedrich-Alexander-University Erlangen-Nürnberg (FAU))

Atomic layer deposition (ALD) is ideally suited to the generation of ‘extremely thin absorber’ (ETA) solar cells, in which three distinct semiconductors are combined as electron transport layer (SnO2, TiO2, ZnO), light absorption layer (Sb2S3, Sb2Se3), and hole transport layer (V2O5 or spin-coated organics).

We have used ALD to explore and optimize individual geometric parameters of planar and nanostructured ETA cells. In the planar case, the compromise between light absorption and charge collection in Sb2S3 yields 60 nm as the optimal light absorber thickness. An underlying ZnS layer serves to improve adhesion between Sb2S3 and the oxide, and behaves as a tunnel barrier. Here, the ideal thickness that best balances an efficient direct electron transfer with a blocked recombination lies at 1.0 nm.

This materials system can also be implemented in parallel arrays of coaxial, cylindrical p-i-n heterojunctions. In that situation, the cylinder length that maximizes the overall solar energy conversion efficiency is 750 nm. Dewetting of heavy chalcogenides becomes an issue in such geometries that feature strongly curved surfaces. It can be prevented by a sacrificial ZnO layer that is removed after annealing. In that procedure, we find that an undesired barrier to charge transfer is formed due to redox chemistry at the interface. However, the formation of this barrier can be prevented by carefully oxidizing the surface of Sb2S3preliminary step. This trick even allows for the conformal coating of nanospheres, and the generation of solar cells based on nanosphere monolayers that feature interesting photonic effects.

Similarly structured coaxial p-i-n heterojunctions cannot be generated with hybrid perovskites as the light absorber, given that the ionic nature of perovskites renders them inaccessible from gaseous precursors. For this purpose, we have developed ALD from precursors in solution, or sALD. This new method yields high-quality semiconductors, even crystalline ones, at or near room temperature.

Taken together, these results demonstrate the power and versatility of ALD to control and engineer each layer of a photovoltaic stack, but also each interface between them, down to the level of individual atoms.

12:50 PM LI-ALD-TuM2-41 Demonstration of Pt-Wire Temperature Sensors Fabricated by Atomic-Layer 3D Printer Using MeCpPtMe3 and O3
Gabriel Vanko, Boris Hudec (Slovak Academy of Sciences, Slovakia); Marian Precner (Institute of Electrical Engineering, Slovak Academy of Sciences, Bratislava, Slovakia); Ivan Kundrata, Maksym Plakhotnyuk (ATLANT 3D Nanosystems, Denmark); Julien Bachmann (Friedrich-Alexander Universität Erlangen-Nürnberg, Germany); Karol Fröhlich (Slovak Academy of Sciences, Slovakia)

This case study demonstrates Pt-wire temperature sensors fabricated by a rapid prototyping atomic-layer 3D printer utilizing a combination of ALD, microfluidics and high precision 3D printing [1], first time introduced at AVS ALD 2020 [2].

The printer nozzle moves relative to the substrate in a highly controlled manner (in this case ~2 mm/s) following a pre-programmed pattern. The nozzle is a miniature spatial ALD system where the precursor (MeCpPtMe3 in this case) flows out of the center of the nozzle, surrounded by a concentric rings of vacuum and a reactant gas (O3). The result is an area-selective ALD of pre-programmed patterns, where each nozzle pass over the substrate equals to one ALD cycle.

In this demonstration, >2 mm long Pt-wires of different thicknesses were printed using 100-500 ALD cycles at 200, 225 and 250 °C, where the width of the wires (~400 µm) was defined by the used nozzle geometry.

Morphology studies of the Pt surface by scanning electron microscopy revealed the island-like growth mechanism, typical for this thermal ALD Pt process [4], as well as certain effects on the wire edges, discussed in detail in [3].

The sensor was annealed for 30 min at 600 °C in N2 atmosphere as a first step to ensure thermal stability. The wires’ ends were capped by Au contact pads 2 mm apart (using e-beam PVD through a shadow mask) and measured electrically for their temperature sensor characteristics in the 25-400 °C range, as well as in cryogenic temperatures down to 3 K.

Interestingly, higher temperature sensitivity S was found for samples made by 200 ALD cycles, with a morphology of a network of interconnected Pt grains.

The comparison of temperature coefficient of resistivity α (normalized sensitivity) clearly shown that the printed ALD Pt demonstrate better temperature sensing characteristics than the conventional 30 nm e-beam PVD Pt thin film, and is comparable also to the Pt100 standard.

We will also discuss sensors printed on corrugated surfaces, i.e. black Si and Si gratings.

Online suppl. figures show the fabrication flow, SEM micrographs and electrical properties. The authors acknowledge the support of the H2020-EU ATOPLOT project (grant ID: 950785).

References

[1] Atomic layer process printer (2020, June 03). Patent WO/2020/245230. https://patentscope.wipo.int/search/en/detail.jsf?docId=WO2020245230

[2] Kundrata I, et al., An Atomic-Layer 3D Printer. Talk at [ALD/ALE 2020]

[3] Kundrata I, et al., Influence of reactor and pattern geometry on Atomic Layer 3D printing [ALD/ALE 2021]

[4] Lee HBR, Pickrahn K, Bent SF, Effect of O3 on Growth of Pt by Atomic Layer Deposition, J. Phys. Chem. C 2014, 118, 12325 View Supplemental Document (pdf)
1:05 PM LI-ALD-TuM2-44 Optimization of 1D Core-Shell Heterostructures for Gas Sensing
Muhammad Hamid Raza, Nicola Pinna (Humboldt University Berlin, Germany)

Hierarchical core-shell heterostructures composed of p- and n-type metal oxide shells deposited onto carbon nanotubes (CNTs) were synthesized using atomic layer deposition. Precisely controlled films of alternating metal oxides were uniformly deposited onto the inner and outer walls of the CNTs. The morphological, microstructural and electrical characteristics of the as prepared core-shell heterostructures were thoroughly investigated. The electrical resistance measurements highlighted the large influence of the metal oxides thickness and charge carriers types on increasing of many order of magnitude the baseline resistance of the core-shell heterostructures with various thicknesses of the metal oxide shell layers, suggesting that the conductivity of the sensors is dominated by Schottky barrier junctions across the n-p interfaces.

The behavior of our sensors was investigated for low concentrations of volatile organic compounds and pollutants. The gas sensing response of the heterostructures showed a strong dependence on the thickness of the metal oxide shell layers and the type of heterostructures formed. On the basis of the morphological, microstructural and electrical characterization and sensing results, the sensing mechanism which accounts for the marked variation in the resistance during the interaction of the target gas molecules will be discussed. View Supplemental Document (pdf)
1:20 PM LI-ALD-TuM2-47 Bridging the Synthesis Gap in Vapor-Phase Deposition using Ionic Liquid as Solvent
Jingwei Shi, Stacey Bent (Stanford University)
Molecular layer deposition (MLD) is a vapor-phase organic thin film deposition method useful for applications such as photolithography, lithium batteries, and microelectronics. However, while MLD offers excellent control over thickness and conformality, there is a more limited range of possible film chemistries compared to wet deposition techniques. We introduce a modified MLD technique, termed ionic liquid assisted MLD (IL-MLD) to overcome these limitations. The surface reactions of an IL-MLD process are performed inside an ultrathin layer of a suitable ionic liquid (IL) to replicate solvent effects inside a vacuum system, widening the number of possible chemical reactions used. The IL-MLD of polyetherketoneketone, an industrially and research-relevant, high performance thermoplastic, is demonstrated with this technique. By this proof-of-concept, we show that IL-MLD can enable the synthesis of ultra-thin polymeric films via solvent-mediated reactions which may be adapted to other vapor deposition techniques as well.
1:35 PM LI-ALD-TuM2-50 A Model of ALD Particle Coating in Fluidized Bed Reactors: Comparison With Experiments and Other Particle Coating Techniques
Angel Yanguas-Gil, Zheng Lu, Pragathi Darapaneni, Donghyeon Kang, Anil Mane, Jeremy Kropf, Christopher Marshall, Jeffrey Elam (Argonne National Laboratory)

The ability to efficiently coat large batches of particles by atomic layer deposition is crucial for applications such as energy storage and catalysis. In recent years, fluidized bed reactors have shown strong promise as a pathway towards scale up of ALD in particles. In this work, we present a model of ALD in fluidized bed reactors. Using some fundamental approximations, we reduce the model to a set of analytic expressions that provide the time evolution of surface coverage inside the reactor as well as of precursor and byproduct species in the downstream area, using fundamental process and reactor parameters as inputs. A comparison between the model and experimental results on the ALD of Al2O3 using TMA and water in a commercial fluidized bed tool shows that the model is able to capture the main behaviors experimentally observed using in-situ mass spectrometry.

We then use this model to explore and compare the scale up of particle coating using fluidized bed reactors, rotating drum reactors both under constant flow and static dose conditions, and spatial ALD approaches using particle agitation, all methods that have been explored in the literature. The results obtained provide upper boundaries for dose times (throughput) and precursor utilization based on fundamental aspects of the precursor-surface interaction, such as the growth per cycle or the initial reaction or sticking probability, the maximum mass rates achievable for ALD precursors, and the volume and surface area of the particles. The resulting models can be used to explore the integration of ALD particle coating into more complex process flows.

1:50 PM LI-ALD-TuM2-53 Closing Remarks & Thank Yous
Xiangbo Meng (University of Arkansas)
We hope you enjoyed the session! We will see you tomorrow!
Session Abstract Book
(373KB, Jun 9, 2021)
Time Period TuM2 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule