ALD/ALE 2021 Session LI-MoM: Plenary & Awards Live Session

Monday, June 28, 2021 10:00 AM in Room Live

Monday Morning

Session Abstract Book
(367KB, Jun 9, 2021)
Time Period MoM Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

Start Invited? Item
10:00 AM LI-MoM-1 Opening Remarks & Welcome
Scott Clendenning (Intel); Seán Barry (Carleton University, Canada); Jane P. Chang (University of California, Los Angeles); Thorsten Lill (Lam Research Corp.)
Welcome to the ALD/ALE 2021 Virtual Meeting! We hope you will enjoy the event1
10:10 AM LI-MoM-3 Plenary Lecture: Materials & Innovation - Essential Elements that Underpin the Next Industrial Revolution
Todd Younkin (SRC)

All of us can see the next industrial revolution on the horizon. There are generational opportunities in hyperscaled computing, artificial intelligence (AI), autonomous driving, 5G/6G communications, integrated photonics, and even quantum computing and quantum sensing. Yet, as computing systems create new markets and move into these domains, the underlying hardware performance required to truly unleash these markets at scale is faced with constraints driven by fundamental physical limits. The opportunities of tomorrow are simply unachievable through anticipated hardware technologies and our old two dimensional (2D-) scaling playbook. A paradigm shift is underway to create new value propositions with semiconductor technologies and fabrication as the key drivers.

In response to this emerging crisis, SRC convened scientists from industry, academia, and government to outline a ten year R&D plan, the 2030 Decadal Plan for Semiconductors, as a scientific call to action.[1,2] Therein, we have outlined the global drivers and constraints for future information and communication technology (ICT) systems, providing goal-driven yet creative solutions to seek measured progress and real world impact. The document outlines five “seismic shifts” that are shaping the future of chip technologies for smart sensing, memory and storage, communication, security, and energy efficient computing.

At the heart of these advances lies chipmaking which requires materials innovation, new precursors, new chip fabrication equipment, an understanding of material interfaces, the continued development of process knowledge, and skilled workers that embody these essential elements. The tribal knowledge and research activity in these areas must evolve beyond 2D-scaling to contribute to heterogeneous and 3D-monolithic integration that is informed by a rapidly-changing state of the art in packaging and assembly, design, and novel ICT architectures.

In this talk, I will share my perspective on the evolving global R&D landscape for semiconductors, illustrate the five “seismic shift” drivers behind future ICT chip advances, and give specific examples of ALD- and ALE-based research that map to today’s and tomorrow’s efforts. I hope to leave the audience with a clearer picture of the needs and opportunities we see for the years ahead. The “Roaring 20s” will not be boring if you are interested in materials-driven semiconductor advances and are a disciplined imaginator!

[1] 2030 Decadal Plan for Semiconductors, https://www.src.org/about/decadal-plan/

[2] Newly-Announced $3.4 Billion Plan Aims to Stimulate US Semiconductor R&D, https://www.allaboutcircuits.com/news/newly-announced-3point4-billion-plan-aims-stimulate-us-semiconductor-rd/

10:40 AM LI-MoM-9 Introduction to ALD and ALE Student Finalists
Seán Barry (Carleton University, Canada); Jane P. Chang (University of California, Los Angeles)
We now introduce you to our 2021 ALD/ALE Student Award Finalists.
10:45 AM LI-MoM-10 ALD Student Award Finalist Talk: Enhanced Surface Adsorption in Electric Field/Potential Assisted Atomic Layer Deposition (EA-ALD) of Ultrathin Ru Film
Yoon Jeong Kim, Ji Won Han, Ji Sun Heo, Tae Joo Park (Hanyang University, Korea)

Ru metal has high work function of 4.7 eV, a low bulk resistivity (∼7 μΩ∙cm). It has good thermal and chemical stability on metal state. Furthermore, Ru forms a conductive oxide phase, RuO2, with work function of ~5 eV and resistivity as low as ~ 30 μΩ∙cm, which prevents the formation of insulating interfacial layers in contact with oxides. As the size of semiconductor devices decreases, electronic applications require a nanometer-range of conformal thin film deposition in complex 3D structures. Among various thin film deposition technologies, atomic layer deposition (ALD) is considered to be promising in the development of nanometer device manufacturing technology due to its excellent step coverage and thickness control. [1]

However, continuous Ru film of under certain thickness (~6 nm) is difficult to form since they exhibit significant nucleation delay on various surfaces. The nucleation delay leads to increased process time and consumes a lot of precursor. For short nucleation delay time, high nucleation density is required.The high nucleation density forms continuous thin film with a smoother surface than obtained with low nucleation density. Therefore, the early nucleation density is important for metal film formation.

Using electric field/potential assisted atomic layer deposition (EA-ALD) can reduce the grain size and the critical thickness of the continuous film. When voltage is applied during precursor injection, it changes the surface potential of the substrate to promote chemical reactions. The electric field attracts the metal precursor molecules onto the substrate to improve the early nucleation density.As a result, the saturation of Ru layer density was increased on the substrate and a higher density thin film was deposited. It is possible to deposit continuous Ru ultrathin films with superior physical and electrical properties.

References [1] Chem. Mater. 2017, 29, 4654−4666
11:15 AM BREAK
11:20 AM LI-MoM-17 ALD Student Award Finalist Talk: What Controls the Conformality of Plasma ALD in High-Aspect-Ratio Applications?
Karsten Arts, Sanne Deijkers, Tahsin Faraz (Eindhoven University of Technology); Riikka L. Puurunen (Aalto University); Erwin Kessels, Harm Knoops (Eindhoven University of Technology)

This work discusses the role and impact of the plasma on film conformality during plasma ALD, using the material systems SiO2 and TiO2 as industry-relevant case studies. Specifically, detailed insight into the impact of radical recombination and ion bombardment is provided. This is essential for predicting and further advancing the film conformality, especially for future applications with extremely high-aspect-ratio (AR) features.

First of all, the loss of reactive plasma radicals through surface recombination is often considered a major factor limiting film conformality during plasma ALD. To pinpoint what level of film conformality can still be achieved, we have developed a method to determine the surface recombination probability r of the radicals.1 This method is based on the film penetration into high-AR trench structures. For plasma ALD of SiO2 and TiO2 very low values of r~10-4 are determined, where r is observed to further decrease with temperature and pressure down to ~10-5. Accordingly, film growth up to an AR as high as 200:1 or even 800:1 is achieved within reasonable cycle times, depending on temperature and pressure.2 These results demonstrate that extremely challenging applications such as the coating of porous materials are feasible under specific process conditions.

Secondly, we demonstrate that ion bombardment can also have an important impact on film conformality during plasma ALD, even under mild plasma conditions with low-energy (<20 eV) ions. Specifically, it is observed that (low-energy) ions contribute to the film quality of SiO2,3 can induce crystallization during plasma ALD of TiO2,4 and can alter the growth per cycle by a factor of up to ~2 (for both SiO2 and TiO2). This can significantly affect the film conformality obtained on 3D nanostructures. Furthermore, we reveal that the magnitude of the influence of ions can be controlled by the ion energy dose, where a minimal effect is obtained when supplying a dose of <1 eV nm-2 cycle-1, or a strong effect when supplying a dose of >100 eV nm-2 cycle-1.3,4

In conclusion, we provide key insights that can further advance plasma ALD, particularly to meet the conformality requirements in demanding future applications.

  1. K. Arts et al., J. Phys. Chem. C 123, 27030 (2019).
  2. K. Arts et al., Oxygen recombination probability data for plasma-assisted atomic layer deposition of SiO2 and TiO2 (to be published)
  3. K. Arts et al., Appl. Phys. Lett. 117, 031602 (2020).
  4. K. Arts et al., Impact of ions on film conformality and crystallinity during plasma-assisted atomic layer deposition of TiO2 (to be published).
View Supplemental Document (pdf)
11:35 AM LI-MoM-20 ALE Student Award Finalist Talk: Modelling Atomic Layer Etching of Thin Film Metal Oxides
Rita Mullins (Tyndall National Institute, University College Cork); Suresh Kondati Natarajan (Synopsys ); Michael Nolan (Tyndall National Institute, University College Cork)
HfO2 and ZrO2 are two high-k materials that are crucial in semiconductor devices. Atomic level control of material processing is required for fabrication of thin films of these materials at nanoscale device sizes. Atomic layer deposition (ALD) and thermal atomic layer etching (ALE) allow fabrication of ultra-thin films for semiconductor device processing. ALD is a well-known metal oxide thin film deposition technique that enables a high level of control over film thickness. Thermal ALE, which is growing in importance, uses self-limiting halogenation (e.g. HF exposure) producing a non-volatile modified layer. Subsequent ligand exchange reactions remove up to a monolayer of the metal oxide. This modern approach for controlled etching is the reverse of ALD.
Given that it is difficult to investigate ALE reactions directly using experimental techniques, first-principles-based atomic-level simulations using density functional theory (DFT) can give deep insights into the precursor chemistry and the reactions that drive the etch of different materials. This contribution presents first-principles density functional theory modelling to examine the etch chemistry of thin films of HfO2 and ZrO2. HF exposures on the surfaces of HfO2 and ZrO2 are studied. HF coverages ranging from 1.0 ± 0.3 to 17.0 ± 0.3 HF/nm2 are investigated and a mixture of molecularly and dissociatively adsorbed HF molecules is present at higher coverages. Theoretical etch rates of -0.61 ± 0.02 Å /cycle for HfO2 and -0.57 ± 0.02 Å /cycle ZrO2 were calculated using maximum coverages of 7.0 ± 0.3 and 6.5 ± 0.3 M-F bonds/nm2 respectively (M = Hf, Zr). These calculations involve perfect, crystalline oxide substrates. To widen the scope of this work, we also compare the ALE chemistry using HF on amorphous HfO2 and the chemistry using HCl as the first pulse reagent.
11:50 AM LI-MoM-23 ALD Student Award Finalist Talk: Surface Passivation Using Aminosilanes for Area-Selective Atomic Layer Deposition
Kaat Van Dongen (KU Leuven); Rachel Nye (North Carolina State University); Danilo De Simone, Annelies Delabie (IMEC, Belgium)
EUV lithography (EUVL) is one of the main drivers in the innovation of nano-electronic devices, as it can print structures of 14nm with a single exposure, and further downscaling is being studied. However, EUVL requires ultra-thin resist films to prevent pattern collapse, complicating the pattern transfer to the underlying layer. A possible solution is the combination of EUVL with area-selective deposition (ASD) of hard masks. ASD aims to deposit material only on the desired growth areas while no deposition occurs on the non-growth areas. ALD allows to deposit conformal films with atomic scale control, but most ALD processes have limited inherent selectivity. Surface passivation can improve the selectivity of ALD. Aminosilanes, such as dimethylamino trimethylsilane (DMATMS) and hexamethyldisilazane (HMDS), can work as passivating agents for SiO2 surfaces as trimethylsilyl (TMS) surface groups are introduced. To get a high selectivity, we need to optimize the TMS surface coverage and understand the impact on the passivation towards ALD.
We have therefore studied reactions of a hydroxylated SiO2 surface with DMATMS and HMDS and the effectiveness of the passivation towards TiO2 ALD (TiCl4/H2O). Both reactions are self-limiting, but the obtained TMS surface coverage is different. The reaction with DMATMS at 250°C saturates after 300 s and results in a TMS coverage of 2.1 TMS/nm2, close to theoretical limit of 2.41 TMS/nm2 (1), and a WCA of 101±1°. Lower temperature slightly reduces the WCA value (98±1° and 97±1° for 180°C and 135°C, respectively). This has only a minor effect on the selectivity for 3.41 nm TiO2 on the hydroxylated SiO2 (0.95, 0.92 and 0.90 for 250°C, 180°C and 135°C, respectively (RBS)). Contrarily, the HMDS reaction at 135°C and 180°C saturates after 600 s but the TMS coverage is at most 1.5 TMS/nm2 (XPS) and the WCA value is lower (80±6°). This leaves 0.9±0.7 OH/nm2 available for reaction with TiO2. The TiO2 selectivity is low, 0.13 for 3.41 nm TiO2 on the non-passivated SiO2. Possible explanations for the different surface coverage at saturation are the difference in chemistry, the HMDS could be too large to reach the remaining OH groups, or a difference in process conditions (e.g., partial pressure), since the reactions are carried out in different deposition tools. Sequential HMDS (180°C, 300s) and DMATMS (250°C, 300s) reactions result in a similar WCA but the selectivity towards TiO2 ALD drops faster compared to a single DMATMS reaction.
(1)Soethoudt, J. et al., J. Mater. Chem. C, 2019, 7, 11911
View Supplemental Document (pdf)
12:05 PM LI-MoM-26 ALD Student Award Finalist Talk: Electron-Enhanced Atomic Layer Deposition of Ruthenium Thin Films Using (DMBD)Ru(CO)3
Michael Collings, Steven George (University of Colorado, Boulder)

Electron-enhanced atomic layer deposition (EE-ALD) has been demonstrated as an effective method to rapidly nucleate and grow thin films at low temperatures [1,2]. During EE-ALD, electrons are used as a “reactant” in an ALD process. The role of the electrons is to remove surface ligands via electron stimulated desorption. In this work, EE-ALD was used to grow Ru thin films using dimethylbutadiene (DMBD) ruthenium tricarbonyl, or (DMBD)Ru(CO)3, at low temperatures.

The sequential surface reactions for Ru EE-ALD are shown in Figure 1. During this reaction sequence, a hollow cathode plasma electron source (HC-PES) provided a high flux of electrons. The HC-PES is chemically robust and can operate at reactor pressures as large as 1 mTorr. Our HC-PES can emit electron currents ≥ 100 mA over surface areas of 50 cm2. The electron energy employed for the Ru EE-ALD was 125 eV. Ru growth during EE-ALD was measured with in-situ spectroscopic ellipsometry. The Ru films were grown on silicon substrates with a native oxide.

Ru film growth was demonstrated at both 100°C and 160°C. Film thickness measured by ellipsometry showed that the Ru films nucleate and grow from the very first EE-ALD cycle. Linear film growth was observed over 600 cycles with growth rates of 0.17 Å/cycle and 0.23 Å/cycle at 100°C and 160°C, respectively. Spectroscopic ellipsometry measurements for 600 cycles of Ru EE-ALD at 160°C are shown in Figure 2. Self-limiting growth behavior was observed with respect to both (DMBD)Ru(CO)3 and electron exposures. XPS was used to determine the purity of the Ru films. Oxygen was observed to be as low as 0.3 at%. Carbon was also low but could not be quantified because of the overlap of the C and Ru XPS peaks.

[1] Z.C. Sobell, A.S. Cavanagh and S.M. George, “Growth of Cobalt Films at Room Temperature Using Sequential Exposures of Cobalt Tricarbonyl Nitrosyl and Low Energy Electrons”, J. Vac. Sci. Technol. A37, 060906 (2019).

[2] J.K. Sprenger, H. Sun, A.S. Cavanagh, A. Roshko, P.T. Blanchard and S.M. George, “Electron-Enhanced Atomic Layer Deposition (EE-ALD) of Boron Nitride Thin Films at Room Temperature and 100°C”, J. Phys. Chem. C122, 9455-9464 (2018). View Supplemental Document (pdf)
12:20 PM LI-MoM-29 Plenary Lecture: Mechanisms of Thermal Atomic Layer Etching
Steven George (University of Colorado Boulder)

Atomic layer deposition (ALD) and atomic layer etching (ALE) are defined by two sequential, self-limiting surface reactions. ALE is based on surface modification by the first reactant. The second reactant then leads to volatile release of the modified surface. There are two types of ALE:plasma and thermal. Plasma ALE methods employ energetic ion or neutral species to release the modified material anisotropically using sputtering. Thermal ALE processes utilize gas species to release the modified material isotropically using thermal reactions [1]. Thermal ALE can be viewed as the “reverse of ALD”.

One important mechanism for thermal ALE is surface modification by fluorination and volatile release by ligand-exchange reactions. Fluorination is thermochemically favorable because metal fluorides are more stable than metal oxides. The metal fluorides can then be volatilized when a ligand from an incoming metal precursor exchanges with F from the metal fluoride. This fluorination and ligand-exchange mechanism is applicable to the thermal ALE of many metal oxides such as Al2O3. Conversion reactions are able to extend the range of materials that can be etched using thermal ALE. Conversion reactions occur when an incoming metal precursor reacts with the surface of an initial material and converts the surface layer into another material. This new material may have etching pathways that were not accessible to the initial material.

Another thermal ALE mechanism is based on oxidation and ligand-addition reactions. This mechanism is particularly important for etching elemental metals. Oxidation first changes the oxidation state of the elemental metal. Subsequently, ligands can add to the oxidized metal center and form stable and volatile metal compounds. An example of oxidation and ligand-addition is thermal Ni ALE using SO2Cl2 and P(CH3)3. Chlorination by SO2Cl2 changes the oxidation state and then P(CH3)3 adds to the oxidized Ni center and forms volatile NiCl2(P(CH3)3)2.

Different mechanisms for thermal ALE can lead to selectivity in etching between various materials. Etching results if the sequential, self-limiting surface reactions produce stable and volatile compounds. Selectivity between materials occurs if the etching products for one material are not stable or volatile. A good example is the selectivity between Al2O3 and ZrO2 using HF and Al(CH3)3 as the reactants. ZrO2 is not etched and can be used to define a monolayer etch stop for the HF and Al(CH3)3 reactants.

[1] S.M. George, “Mechanisms of Thermal Atomic Layer Etching”, Acc. Chem. Res. 53, 1151 (2020).

12:50 PM BREAK
12:55 PM LI-MoM-36 Introduction to 2021 ALD Innovator Awardee Stacey Bent, Stanford University, USA: Up, Down and All Around: Controlling Atomic Placement in ALD
Seán Barry (Carleton University, Canada)
With the increased importance of functional nanoscale materials for applications such as electronics, catalysts, and batteries, the interest in atomic layer deposition (ALD) as a method for fabricating structures with atomic-level control is intensifying. Based on sequential, self-limiting gas-surface reactions, ALD provides excellent capabilities for depositing thin solid films, nanoparticles, and other nanoscale materials while offering exceptional conformality, thickness control at the angstrom level, and tunable film composition. Yet despite the powerful film growth capabilities already attained with ALD, there is a compelling need to push the technique even further and control atomic placement not just in the direction of film growth, but also laterally. In this talk, I will describe research into the fundamental processes that drive ALD and ways to manipulate the chemistry to achieve area selective deposition, a bottom-up growth strategy in which deposition is directed only to desired regions of a patterned substrate. Mechanistically, the reaction chemistry of both the ALD precursor and the co-reactant at the growth surface play important roles in the ALD process and can influence both nucleation and growth. Importantly, by modifying the substrate properties, e.g., by the application of molecular monolayers or other surface treatments, the native reactivity of the surface with the ALD precursors can be altered to allow for area selective ALD. I will describe how area selectivity can be achieved across a range of different substrate and film materials, and also highlight challenges and potential solutions to reaching even high selectivities than currently possible.
1:00 PM LI-MoM-37 ALD Student Award Finalist Talk: Tuning Properties of Vapor Deposited ZIF-8 Thin Films With Preferred Orientation
Marianne Kräuter (Graz University of Technology)

Recent years saw a rise of solvent-free methods for synthesis of metal organic frameworks with the aim of accessing new application fields and facile processing. Since vapor deposition excels at these key points we employed a two-step chemical vapor deposition process, that allows for the delivery of high-quality, homogeneous thin films of zeolitic imidazole framework 8 (ZIF-8) from ZnO1.

First, an ultrathin ZnO seed layer is deposited via plasma-enhanced atomic layer deposition (PE-ALD). Acting on the substrate temperature, ranging from room temperature to 200°C, the preferred crystal orientation can be switched from (100) to (002). ZIF-8 thin films are subsequently grown by subjecting the ZnO-layer to a 2-methyl imidazole vapor. To our knowledge, this is the first time that PE-ALD has been employed for the growth of ZIF-8.

To gain better control over the still novel deposition technique, the impact of crystal orientation and thickness of the ZnO precursor onto the resulting ZIF-8 thin films was investigated. The results show that ZIF-8 was successfully synthesized. Furthermore, X-ray diffraction studies reveal a powder-like structure together with a strong (100) orientation of ZIF-8 crystals. ZIF-8 coverage on the substrate increases for thicker ZnO layers with ZIF-8 particles exhibiting average thicknesses as high as (93 ± 9) nm for ZIF-8 from 3 nm ZnO and (192 ± 11) nm for ZIF-8 from 10 nm ZnO. The thickness increase during conversion rises to about 1600% as a function of ZnO thickness. ZnO orientation weakly influences the thickness increase during conversion and, via differing densities, also the crystallinity of the resulting ZIF-8. Our results provide vital knowledge about the link between deposition parameters of ZnO and properties of the resulting ZIF-8 thin films, namely coverage, thickness, roughness and orientation, thus making it possible to tailor them towards specific applications.

(1) Stassen, I.; Styles, M.; Grenci, G.; Van Gorp, H.; Vanderlinden, W.; De Feyter, S.; Falcaro, P.; De Vos, D.; Vereecken, P.; Ameloot, R. Chemical Vapour Deposition of Zeolitic Imidazolate Framework Thin Films. Nat. Mater.2016, 15 (3), 304–310. https://doi.org/10.1038/nmat4509.

1:15 PM LI-MoM-40 ALE Student Award Finalist Talk: Reaction Pathways Leading to Anisotropic Pattering of Cu
Xia (Gary) Sang, Mark Martirez, Taylor Smith, Emily Carter, Jane Chang (University of California at Los Angeles)

Plasma-thermal atomic layer etching (ALE) is a promising technique for enabling nanometer-level metal patterning. Utilizing the anisotropic nature of low energy ions, a modified layer is defined directionally in the plasma exposure step. The subsequently introduced isotropic etchant molecules only react with the modified region, leaving a final feature with ideal directionality and selectivity. This technique has been proven effective on patterning etch-resistant metals such as Ni.

In this work, the directional Cu etch is demonstrated with the plasma-thermal ALE process using low energy oxygen ions from an oxygen plasma to achieve directional modification and formic acid vapor to realize selective removal of the modified layer. This cyclic process yielded an etch rate of 2.4 nm/cycle at 80℃. The etch selectivity by gas-phase formic acid of copper oxide over metallic copper is high based on preliminary results and being quantified in this work. A 1.5 micrometer line structure with 55 nm Cu under a 135 nm thick SiCN hard mask was successfully patterned with high selectivity against the hard mask and relatively vertical sidewalls. The reaction products, postulated as copper formate (Cu(COOH)2), were measured by analyzing the condensates from the chemical vapor after the cyclic etching process with inductively coupled plasma mass spectroscopy (ICP-MS) and nuclear magnetic resonance (NMR). The surface chemical states after the etching process were quantified by XPS, showing the formation of Cu(I) and Cu(II) oxides upon oxygen plasma exposure and its subsequent removal after formic acid exposure, with the percentage of Cu(OH)2 increased after the vapor was introduced to the surface. To corroborate these findings, density Functional Theory (DFT) calculations were carried with experimental conditions. It was found that a water-free dimeric tetra(μ-formato)dicopper(II) “paddlewheel” complex (Cu2(HCOO)4) is the most favorable etching product, with its formation reaching equilibrium conditions from Cu(II). The most likely precursor for the dimer is the diformatodi(formic acid)copper(II) monomer, which favorably dimerizes under the same water-lean condition at which the dimer persists. Finally, DFT simulation is compared to the NMR measurements.
1:30 PM LI-MoM-43 ALE Student Award Finalist Talk: Thermal Atomic Layer Etching of Cobalt Using SO2Cl2 and P(CH3)3
Jessica Murdzek, Steven George (University of Colorado Boulder)

Thermal metal ALE is challenging because the oxidation state of the volatile metal etch products are usually different than the zero oxidation state of the elemental metal.In this work, cobalt ALE was developed by first oxidizing the cobalt via chlorination using SO2Cl2. Then the Co was etched by the binding of trimethyl phosphine (PMe3) ligands that can volatilize the cobalt chloride.Thermal Co ALE was achieved at 150 °C by properly balancing the SO2Cl2 exposures that chlorinate cobalt and the PMe3 exposures that remove the cobalt chloride (Figure 1).

The logic of this approach is based on the Covalent Bond Classification (CBC) method.The CBC method indicates that most organo-metallic complexes obey the 18 or 16 electron rule. We have shown previously that this method works for the thermal ALE of nickel. In the CBC method, a chloride ligand donates one electron to the metal center and is referred to as an X ligand. PMe3 is a two-electron donor and is designated an L ligand. The nickel ALE process was accomplished using these sequential steps: chlorination to NiCl2 and ligand addition to form NiX2L2, or NiCl2P(Me3)2, resulting in an etch process. For cobalt, the most likely compounds to be created using chloride and trimethyl phosphine ligands are CoX2L4, CoX2L2, or CoX3L3. These compounds have cobalt in the +2 or +3 oxidation states.

Co ALE process was demonstrated with SO2Cl2 and PMe3 exposures at 150 °C using in situ quartz crystal microbalance (QCM) measurements. The QCM experiments indicated that cobalt very readily chlorinates when exposed to SO2Cl2. A typical mass gain with one exposure of SO2Cl2 on cobalt can be up to 1400 ng/cm2.In contrast, an identical exposure of SO2Cl2 on nickel results in a mass gain of only 100-150 ng/cm2. The PMe3 step was also different on cobalt when compared with nickel. For nickel ALE, one exposure of PMe3 removes all available NiCl2. An identical exposure of PMe3 on CoCl2 only removes ~20% of the available CoCl2.Consequently, multiple PMe3 exposures are required to remove the CoCl2.

Co ALE requires a careful balance between the SO2Cl2 and PMe3 exposures.Too much cobalt chlorination with SO2Cl2 will lead to difficulties removing all the cobalt chloride with PMe3.Cobalt chloride that is not removed during one ALE cycle will build up and a cobalt chloride top layer will grow with successive ALE cycles.Optimizing Co ALE was based on determining the number of PMe3 exposures required to remove the top cobalt chloride layer.Using the optimum exposures of SO2Cl2 and PMe3 results in high etch rates for cobalt ALE of 3-5 Å/cycle at 150 °C (Figure 2).

View Supplemental Document (pdf)
1:45 PM LI-MoM-46 ALD Student Award Finalist Talk: Insight into Film Growth Mechanisms in Polyurea Molecular Layer Deposition (MLD) Using New and Combined Precursors
Siyao Wang, Rachel Nye, Gregory Parsons (North Carolina State University)

Analogous to atomic layer deposition (ALD), organic molecular layer deposition (MLD), enabling controlled deposition of materials at the molecular level, has emerged in recent years as a vapor phase method for depositing ultrathin polymeric films to be used in microelectronics, catalysts, and battery electrodes etc. However, lack of fundamental understanding in film growth behavior and underlying mechanisms is still impeding further development and application of MLD. In this study, our goal is to gain understanding on deposition mechanisms to achieve better control over film properties by employing new and innovative combinations of MLD precursors, with the aid of in situ characterization capability and the establishment of a kinetic model.

Specifically, we choose four polyurea precursors including p-phenylene diisocyanate (PDIC), 1,6-hexamethylenediisocyanate (HDIC), ethylenediamine (ED) and 1,6-hexanediamine (HD), in which HDIC is utilized for the first time to deposit MLD films, and proves to be a feasible alternative with improved thermal stability compared to PDIC. The polyurea films are deposited on hydroxylated SiO2 substrates at 45 °C-60 °C and measured every cycle using in situ ellipsometry (every ten cycles for PDIC/HD). As shown in Figure 1, accelerated initial growth within the first ~20 cycles is observed for all films, followed by a steady growth regime. Significantly higher growth at the initial stage is attributed to the higher density of reactive sites on the starting SiO2 surface. As growth proceeds and polymer chains become more entwined, the active site density decreases due to site-terminating double reactions (DRs) and site blocking, which leads to a decrease in growth rate. The steady growth rates for each polymer increase with precursor rigidity, with 0.32, 0.13, 0.020, and 0.020 nm/cycle for PDIC/ED, PDIC/HD, HDIC/ED, and HDIC/HD, respectively, consistent with more prevalent DRs for more flexible polymers.

To further explore the growth initiation and transition mechanism, we deposit hybrid polyurea films by alternating precursors. As shown in Figure 2, when deposited on HDIC/ED substrate, PDIC/ED films exhibit an accelerated thickness increase followed by a subsequent decrease to steady growth rate, due to competition between reactive site regeneration and consumption. Additionally, to describe and predict growth behavior, a model with a simple decay parameter is established (Figure 1). Meanwhile, more advanced modeling based on reactive site termination and generation rates is being constructed to gain fundamental knowledge on growth mechanisms, facilitating future depositions of unique precursors and combinations.

View Supplemental Document (pdf)
2:00 PM LI-MoM-49 Closing Remarks & Thank Yous
Jane P. Chang (University of California, Los Angeles); Thorsten Lill (Lam Research Corp.); Seán Barry (Carleton University, Canada); Scott Clendenning (Intel)
Thank you for attending today's Plenary and Award Session. We will see you tomorrow!
Session Abstract Book
(367KB, Jun 9, 2021)
Time Period MoM Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule