ALD/ALE 2021 Session LI-ALD-TuM1: Precursors (AF): ALD Processes Live Session

Tuesday, June 29, 2021 9:30 AM in Room Live

Tuesday Morning

Session Abstract Book
(340KB, Jun 9, 2021)
Time Period TuM1 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

Start Invited? Item
9:30 AM LI-ALD-TuM1-1 Welcome, Thank Yous & Session Instructions
Jin-Seong Park (Hanyang University)
Thank you for attending and we hope you will enjoy the session!
9:35 AM LI-ALD-TuM1-2 Atomic Layer Deposition of Amorphous/Nanocrystalline Phase-Composite Nanolayers
Myung-Mo Sung (Hanyang University, Republic of Korea)
Interparticle electronic coupling is essential for quantum dot solids to fulfill its expected wide-tunable electrical and optoelectrical properties but has been limited by disorder. Here, we approach alternatively to disorder-immune coupling by synthesizing quantum dot solids based on amorphous/nanocrystalline phase-composite monolayers. The ZnO amorphous matrix, which infills voids of the less regularly ordered ZnO nanocrystal array, mediates electronic coupling between neighboring quantum-confined nanocrystals by means of resonant wavefunction overlap generating a quantized conducting state without forming tunneling barriers. Thin-film transistors based on the phase-composite semiconductors show delocalized band-like transport with superior field-effect mobility value compared to amorphous or polycrystalline ZnO semiconductors. Calculation of charge transport properties is executed using non-equilibrium Green’s function method under various degrees of disorder. For two distinct types of phase-composite quantum dot solids, embedding periodic and disordered nanocrystals, the reproduced conductivities are unexpectedly comparable because the amorphous-mediated interparticle coupling is tolerant against disorder-induced degradation. Furthermore, this facile and stable coupling demonstrates wafer-scale uniform deposition and bias-illumination stability of our phase-composite quantum dot solids.
9:55 AM LI-ALD-TuM1-6 Density Functional Study on ALD Precursors for Hexagonal Boron Nitride Deposition
Naoya Uene, Takuya Mabuchi (Tohoku University, Japan); Jin Yong, Masaru Zaitsu, Shigeo Yasuhara (Japan Advanced Chemicals Ltd.); Takashi Tokumasu (Tohoku University, Japan)

Hexagonal BN (h-BN) has been considered as a promising dielectric film for 2D material-based electronics because of its atomically smooth and charge-free interface with an in-plane lattice constant similar to that of graphene. The atomic layer deposition (ALD) technique is a way to deposit conformal and ultrathin dielectrics directly on insulators or semiconductors at relatively low temperatures than a catalytic CVD process.

The purpose of this study is to provide a path to a new precursor design through theoretical investigations on several precursor's stabilities used in BN-ALD. At present, there are only a few types of BN-ALD precursors that satisfy favorable characteristics and no studies that systematically verify their stability as far as we know. The systematic and theoretical investigation of the BN-ALD precursor is also helpful for engineeringly critical nitride deposition processes such as TiN, AlN, and GaN.Herein, the BN-ALD using BCl3 and B(NMe2)3 precursors on OH terminated SiO2 substrates was studied. They have been attractive precursors for depositing ultra-thin dielectrics and are relatively easy to simulate.

All DFT calculations were performed within spin-polarized generalized gradient approximation (GGA) parameterized by Perdew–Burke–Ernzerhof (PBE) exchange–correlation as implemented in Materials Studio 2018 software Dmol3 packages. The average bond length of B–Cl is found to be 1.734 Å, which is in good agreement with 1.794 Å in the previous study at the MP2 level. Also, in B(NMe2)3, the average bond length of B–N is 1.433 Å, and C–N is 1.431 Å. These calculations also show that the both structures are very symmetrical. From a bond energy calculation, 114.7–114.8 kcal/mol is obtained for the B–Cl bond in BCl3. In B(NMe2)3, 105.6–105.7 kcal/mol for B–N bond and 88.3–88.4 kcal/mol C–N bond are obtained. These results indicate that both precursors are sufficiently stable at room temperature. The B(NMe2)3 thermally decomposes at lower temperatures than BCl3, and organic precursors may be more suitable for low-temperature processes. Further studies are planned on the effect of ligand type on bond energy in BN precursors. Besides, we will investigate the kinetic stability by obtaining an activation barrier for providing the way to a new precursor design.

View Supplemental Document (pdf)
10:10 AM LI-ALD-TuM1-9 A Novel co-Precursor Approach for Atomic Layer Deposition of Various Semiconductor Thin Films
Kok Chew Tan, Jaesun Jung, Changbong Yeon, Jongmoon Kim, Jin Hee Kim, Sojung Kim, Tae Young Eom, Seok Jong Lee, Young-Soo Park (Soulbrain )

Film quality and conformality are some of the critical concerns in deposition technology, especially in ever increasing complex geometry such as high aspect ratio feature and three dimensional (3D) device. In this work, we present an innovative approach that involves an additional step of co-precursor pulse in conventional atomic layer deposition (ALD) process to overcome challenges of depositing high quality and conformal thin films. One of the key ideas of this co-precursor pulse is to induce ligand exchange with the main precursor, which leads to desirable effects on the film quality in terms of impurity contents, density, surface roughness and crystallinity. In addition, film growth rate could be controlled by altering the pulsing sequence of co-precursor in ALD process cycle. This strategy enables considerable improvement in film conformality, particularly in high aspect ratio feature.

Our experimental evidences demonstrate wide applicability of this innovative approach in various areas, for example: in ALD of nitride film, high-k oxide film and MoNx film. By pulsing co-precursor in ALD process, Fig. 1 shows a noticeable 50% improvement in TiN film resistivity due partly to large decrease in Cl impurity of more than 50% as determined by SIMS depth profiles [1]. For ALD of high-k oxide (HfO2) film at 320°C, in addition to the improvements in film density and significant 58% decrease in carbon impurity, co-precursor pulse in ALD is also capable of enhancing high-k dielectric film electrical performances, with 20% reduction in leakage currents and 8% increase in capacitance, as demonstrated in Fig. 2a. In terms of the effects of co-precursor pulse on ALD of MoNx, Fig. 3 reveals improvement in film resistivity at deposition temperatures of 380, 400 and 420°C, with the largest observable improvement of 46% at 420°C. All experimental results exhibit improved step coverage which are made possible by this innovative approach, for example as illustrated in Fig. 2b.

All these findings give clear evidences of the promising capability of co-precursor pulse in ALD for achieving superior film quality, high film conformality and excellent electrical performances. This innovative approach of co-precursor pulse in ALD process could open up various opportunities in applications such as low resistive metal gate interconnects for future technology node, high aspect ratio 3D metal-insulator-metal (MIM) capacitor, DRAM trench capacitor, and other 3D device architectures such as 3D gate-all-around (GAA) and 3D NAND.

Reference:

  1. C. Yeon, J. Jung, H. Byun, K.C. Tan, T. Song, S. Kim, J.H. Kim, S.J. Lee and Y. Park, AIP Advances 11, 015218 (2021).
View Supplemental Document (pdf)
10:25 AM LI-ALD-TuM1-12 Tuneable YAlOx Protective Coatings Against Plasma Damage to Meet the Requirements in Future Semiconductor Fabrication Processes
Jesse Kalliomaki, Markku Kaaria, Keerthi Dorai, Tiina McKee (Picosun Oy)

Atomic Layer Deposition (ALD) enabled corrosion protection solutions against plasma etch are becoming more sought after in the semiconductor industry. Current wafer fabrication process flows include several steps where plasma etching is necessary. An inevitable consequence of using such strong etching chemicals is that the tool itself will be etched. This tool damage can be managed by applying a corrosion-resistant coating to the etch tool to minimize plasma damage [1]. ALD is especially useful for coating tool components having 3D or high aspect ratio features. Nevertheless, even there are challenges. A common industrial solution is several-hundred-nm-thick Y2O3 film, which by ALD tends to be a very hygroscopic film product as-deposited. If the ALD deposition uses H2O as a co-reactant, the deposited material will retain some of that H2O and releases it during the subsequent Y-precursor pulse causing CVD-like growth [2]. This phenomenon becomes more pronounced as the film thickness increases, making it difficult to accurately control the process. Another option would be to completely purge the H2O and stay within the ALD regime, but that makes the processing times unfeasibly long for an industrial solution.

Our approach is to combine the highly-etch-resistant Y2O3 ALD process with more robust ALD processes, such as Al2O3 or ZrO2. It has been shown that to gain most of the benefits from Y2O3 its molar concentration can be less than half in a composite oxide [3]. Here we demonstrate that a high performance ALD corrosion barrier deposition with the speed and convenience of Al2O3 process and durability of Y2O3 can be achieved by carefully controlling the film composition, and that the process is transferrable to an industrial scale deposition chamber.

This study shows how fluorine-based plasma etch rate results from films deposited in a batch ALD tool (Picosun P-300B) at 300 °C correlates with the composition of the oxide film and suggests reasonable compromises between extremely low plasma etch rates and throughput and conformality of the ALD process. The variance in composition was made by altering the ratio between Al2O3 and Y2O3 cycles in the recipe. The etching was made with CF4/O2 plasma to determine the etch rate. The results suggest that by making a compromise to increase the etch rate by factor of 1.5, we can gain an order of magnitude benefit in throughput, film uniformity and conformality. This can be translated to a significant reduction of manufacturing costs by increasing the maintenance interval of etch tools.

1 Shih, ISBN: 978-953-51-0467-4

2 Abdulagatov et al., doi:10.1134/S1063739719010025

3 Kim et al., doi:10.1111/j.1551-2916.2011.04589.x

10:40 AM BREAK
10:50 AM LI-ALD-TuM1-17 ALD of Boron Nitride by Polymer Derived Ceramics chemistry
Wenjun Hao, Tapish Saboo, Catherine Journet, Catherine Marichy (Univ Lyon)

Scientific interest for hexagonal boron nitride (h-BN) material, especially as thin film and nano-/hetero-structures, is growing due to its potential use in various domains such as microelectronic, energy and environment. Atomic Layer Deposition (ALD) is a technique of choice for fabrication of such thin films and complex nanostructured material. Some ALD processes of BN have been reported; mostly based on ammonia and/or halide precursors. In all cases, the crystalline quality of the as-deposited layers remains insufficient and post-annealing treatments are currently performed. Despite these limitations, ALD already demonstrates suited to fabricate BN layers that can successfully be integrated into electronic devices. Based on polymer derived ceramics chemistry, we developed a two-step ALD process of BN that permits access to various BN thin films and complex nano-/hetero-structures. It consists of the growth layer by layer of a preceramic BN films, onto various substrates, at low temperature, and then to its densification into pure BN by annealing process.

Herein, the potential of the ALD process based on PDCs route for BN thin films will be discussed. Indeed, BN thin films were successfully deposited in a controlled manner on various inorganic and organic substrates/templates. In particular, functional quality crystalline BN nano/heterostructures have been fabricated using substrates or templates with different dimensionalities. Their applications as protective coatings as well as filters and absorbers to purify polluted water from organic/oil have been investigated. The involved mechanism will be also introduced.

11:10 AM LI-ALD-TuM1-21 Process Parameter and Substrate Dependence of Sticking Coefficients in Atomic Layer Deposition Processes
Martin Knaut (TU Dresden); Linda Jäckel (Fraunhofer ENAS); Matthias Albert, Thomas Mikolajick (TU Dresden)

Surface reaction probabilities in atomic layer deposition (ALD) processes are often described by simplified sticking coefficients. For ALD processes carried out with sufficiently high reactant doses to enable saturated and self-limiting surface reactions these sticking coefficients are only of minor interest. As a measure of how many surface interactions are necessary for a successful chemisorption they mainly quantify the efficiency of a process. Consequently, knowledge about sticking coefficients can help to make processes more efficient or to select the most cost-effective chemistry. These aspects are becoming increasingly important for the coating of porous materials and three-dimensional structures. Such demanding substrates are much more sensitive to surface reaction probabilities and insufficient reactant doses may result in thickness profiles which strongly depend on the sticking coefficients. This sensitivity was used to investigate the sticking coefficients of alumina and tantalum nitride ALD processes by analyzing thickness profiles grown in a narrow gap between two silicon wafers. The narrow gap impedes the transport of reactants which can generate an unsaturated coating with a transition from a fully coated wafer edge to an uncoated wafer center. Based on the thickness profiles measured by scanning spectroscopic ellipsometry predictions about the sticking coefficients can be made with regard to process parameters, precursor chemistry and substrate properties.

In this paper the experimental results regarding the sticking coefficients during the initial and the homogeneous film growth of alumina or tantalum nitride on wafers with different reactive surface groups are presented and discussed. The experiments confirmed the dependence of the sticking coefficients from process parameters like co-reactants, doses and temperatures as well as different film growth mechanisms for the heterogeneous growth on a substrate material and the homogeneous layer-by-layer growth. The differing sticking coefficients were investigated by analyzing the characteristic thickness profiles during the initial film growth and after the transition to the homogeneous film growth. Pre-coated test wafer were used to verify these effects and to separate process parameter and substrate impacts.

The applied approach is presented as a simple and versatile tool to study novel precursors and processes and to investigate substrate effects on the ALD film growth. Further, the attainable findings can help to improve processes and to adapt them to specific substrates and demanding surface topographies.

11:25 AM LI-ALD-TuM1-24 Volatile Cerium and Ytterbium Precursors for Atomic Layer Deposition: Synthesis, DFT and Application
Parmish Kaur (Ruhr University Bochum, Germany); Arbresha Muriqi (Tyndall National Institute, University College Cork); Ramin Ghiyasi (Aalto University, Finland); Michael Nolan (Tyndall National Institute, University College Cork); Maarit Karppinen (Aalto University, Finland); Anjana Devi (Ruhr University Bochum, Germany)

Cerium-containing thin films find a broad range of applications in diverse areas such as catalysis, sensors, solid oxide fuel cells, water splitting, possible high-k gate dielectric material to name a few. Ytterbium-containing films have attracted increasing attention for the advancement of semiconductor devices such as Ytterbium-doped optical fibers that are useful for high power laser applications. Atomic layer deposition (ALD) is an appealing technique to grow high-quality thin films with precise thickness control and large area homogeneity. However, there are a limited number of suitable ALD precursors for Ce and Yb and thus new metalorganic precursors are much sought after.

Herein, we report a systematic study on the rational development of homoleptic tris-guanidinate [Ln(guan)3] and tris-amidinate [Ln(amd)3] where the lanthanide Ln = Ce and Yb.2 The C on the N-C-N backbone is functionalised with Me, NMe2, NEt2; (Me=methyl, Et=ethyl) and N is functionalised with symmetrical iso-propyl (iPr) and asymmetrical tertiary-butyl (tBu) and Et groups (Figure 1) to study their influence on the physicochemical properties. The compounds are analyzed for their structure, spectroscopic purity, and composition. The solid-state structures obtained for guanidinates of Ce and Yb reveal the monomeric nature of the compounds and the reactive M-N bonds present could be favourable for ALD. Thermogravimetric (TG) studies show that the complexes are volatile, and the symmetrical complexes are thermally more stable than asymmetrical ones. Density functional theory (DFT) modelling is performed to study the reactivity of the complexes with water and molecular oxygen. It is found that in the presence of water, tris-guanidinates are more reactive than tris-amidinates and the Ce complexes are even reactive with molecular oxygen. As a representative precursor for ALD, [Ce(dpdmg)3] is used for the deposition of CeO2 using water as the co-reactant. The process yielded polycrystalline CeO2 films on Si(100) substrates at 160 °C deposition temperature and thin-film analysis is done using XRR, GIXRD, XPS, UV-Vis spectroscopy. While the GIXRD analysis revealed no other peaks except those for the CeO2 phase, the XPS analysis indicated beside the Ce4+ features, an evidence of co-existence of some Ce3+ features in the films. (Figure 2). This study further confirms that the nitrogen coordinated lanthanide complexes are very appealing for ALD applications.

1. https://www.atomiclimits.com/alddatabase/

2. P. Kaur, L. Mai, A. Muriqi, D. Zanders, R. Ghiyasi, M. Safdar, N. Boysen, M. Winter, M. Nolan, M. Karppinen, A. Devi, Chem. Eur. J.2021, 27, 1–15. DOI: 10.1002/chem.202005268

View Supplemental Document (pdf)
11:40 AM LI-ALD-TuM1-27 Predicting Precursor Volatility With Machine Learning
Simon D. Elliott, Asela Chandrasinghe, Anand Chandrasekaran, Yuling An, Mathew D. Halls (Schrödinger)

The success of deposition and etch processes depends crucially on the properties of the metalorganic precursor chemicals and much innovation in this field centers around developing new precursors. The space of possible ligands is vast, much too large to be explored systematically in the lab. The area is therefore ripe for computational screening and design, as long as the relevant properties can be computed quickly and accurately.

Volatility is a key property for vapor-based deposition or etch processes, but one that is difficult to compute from first principles. Here we utilize a machine learning (ML) approach to train surrogate models for pressure-temperature relationships. The first step involves the curation of volatility data from experimental vapor pressure curves for over 1,000 purely organic molecules. A variety of ML algorithms (Random Forest, Neural Networks etc.) are studied in conjunction with different chemoinformatic descriptors and fingerprints. The trained ML model is capable of predicting the evaporation temperatures for 1 Torr and 10 Torr partial pressure of the organic compounds with a mean error of 25°C. We show how the model may be applied to metalorganic complexes, accounting mostly for the intermolecular interaction between ligand shells. The ML model not only allows the identification of salient molecular descriptors but also provides insights to extend the approach to more accurately include the effect of the metal center. We discuss the prospects for informatics-based design and optimization of specialty chemicals.
11:55 AM LI-ALD-TuM1-30 Surface Chemistry of Deposition and Etch from First Principles Simulations
Michael Nolan (Tyndall Institute)

First principles simulations are a powerful tool to enable the investigation of surface chemistries and since atomic level processing is driven by self-limiting surface chemistries simulations can provide deep insights into Atomic Layer Deposition, Molecular Layer Deposition and Atomic Layer Etch. Key information is obtained from modelling the elementary steps of a process, including precursor adsorption, ligand elimination and substrate chemistry. In this contribution, we will discuss our work on first principles modelling of ALD, MLD and ALE processes.

For ALD, we present DFT studies of Co and Ru PEALD (using H2/Nitrogen), for future interconnects in which Co and Ru replace Cu or be incorporated into TaN to give thinner barrier/liner stacks [1-4]. The post-plasma metal surface is modelled as an NH/NH2-terminated surface [1] with predicted composition under ALD conditions. We explore Ru(Cp)2 and Co(Cp)2 precursors and their chemistry on nitrided surfaces- ligand elimination, the role of multiple precursors and coverage. Initial results on the chemistry of plasma species is presented to explore how ligands are eliminated.

For MLD, we present an overview of our DFT work on the chemistry of metalcone growth [5,6], highlighting insights into the impact of the organic precursor on MLD growth; in particular differences between bifunctional ethylene glycol and glycerol . The chemistry at different oxides will also be discussed.

We discuss our studies of ALE of W [7] and Co [8]. For W we present a detailed analysis of the chemistry in a process using partial metal oxidation and WClx half-reactions. For Cp, we discuss the development of a process chemistry that uses mild oxidation agents and CO to promote etching.

1. J Liu, M Nolan, J Phys Chem C 2019, vol. 123 (41), 25166

2 J Liu, H Lu, DW Zhang, M Nolan, J Phys Chem C C 2020, 124 (22), 11990

3 J Liu, HL Lu, DW Zhang, M Nolan, J Mat Chem C 2021, 9, 2919

4 S K Natarajan, CL Nies, M Nolan, J Chem Phys 2020, 152 (14), 144701

5 J. Kint, F. Mattelaer, S. ST Vandenbroucke, A. Muriqi, M. M Minjauw, M. Nisula, P. M Vereecken, M. Nolan, J. Dendooven, C. Detavernier, Chem Mater, 2020 32, 4451

6 A. Muriqi and M. Nolan, Dalton Trans. 2020, 49, 8710

7 S K Natarajan, M Nolan, P Theofanis, C Mokhtarzadeh, S B Clendenning, ACS Appl Mater & Interfaces, 2020, 12, 36670

8 S K Natarajan, M Nolan, P Theofanis, C Mokhtarzadeh, S B Clendenning, J. Vac. Sci. Tech. A, 2021, 39, 022603

12:15 PM BREAK
12:30 PM LI-ALD-TuM1-37 Atomic Layer Deposition of Functional Dielectrics and Metals for the Emerging Non-Volatile Memories
Anna Chernikova, Maxim Kozodaev, Roman Khakimov, Yury Lebedinskii, Andrey Markeev (Moscow Institute of Physics and Technology)

Since the first discovery of ferroelectricity in nanometer-scale hafnium oxide (HfO2) it is considered very attractive for the emerging non-volatile ferroelectric random access memory (FeRAM). A notable feature of ferroelectric HfO2 is complete compatibility with the semiconductor fabrication process. Besides the technologically suitable intrinsic properties of HfO2, atomic layer deposition (ALD) may be successfully applied for its growth. Moreover, TiN, which usually serves as top and bottom electrodes for HfO2-based FeRAM stacks, is also routinely grown by ALD. However, TiN is chemically reactive and readily oxidizes during ferroelectric film crystallization leading to the formation of the oxygen-poor interface with HfO2-based films. Eventually, it causes instability of ferroelectric response, revealing itself in some undesired features of ferroelectric capacitors as wake-up, imprint, etc. The natural demand for electrode materials replacement faces some challenges. The ferroelectric response of HfO2-based stacks depends on many factors, including the electrodes' crystallinity, morphology, chemical properties of the interfaces, etc. Moreover, the cross-correlation between the effect of the electrodes and intrinsic properties of the given HfO2-based film, determined by the dopant element and concentration, thickness, etc, is expected.

Thus, the search for better electrodes and HfO2-based ferroelectric film combination is still outgoing. Considering the ferroelectric material ALD provides the great possibility to improve the ferroelectric response due to the high level of doping control. Regarding the electrodes noble metals and their oxides, which can be also grown by ALD, are especially promising because they can resist oxidation during FeRAM stack manufacture.

In this work, the possibility to utilize Ru/RuO2 electrodes and complex doped HfO2-ZrO2 oxides, both grown by ALD to improve the ferroelectric properties of capacitors is discussed. Special attention is paid to the ability to control the interface properties between electrodes and ferroelectric films by the ALD conditions and to the effect of the electrodes on the crystalline structure of the different HfO2-based films grown by ALD.

12:50 PM LI-ALD-TuM1-41 Crystallinity Control via Atomic Level Scaffolding
Elham Rafie Borujeny, Kenneth Cadien (University of Alberta)

Conventional methods for the growth of epitaxial thin films rely on the crystallographic relationship between the growing film and the underlying substrate. For the case of homoepitaxy (i.e., where the film and the substrate consist of the same material), achieving high quality crystalline films is relatively easy and can be facilitated by providing adequate energy for the deposition process using, for example, higher deposition temperatures, plasma-assisted processes, and laser-assisted processes. On the other hand, for the case of heteroepitaxy (i.e., where the film and the substrate consist of different materials), achieving the desired crystallinity of the thin film is much more challenging specially in cases where a suitable substrate that can induce a certain crystal structure in the growing film is either not accessible or not technologically useful.

In this work, we provide a strategy to precisely control the crystallinity of the ALD films by creating atomic scale scaffolds that can steer the atoms into forming the desired film crystal structure during the deposition process and minimize the role of the substrate in achieving film crystallinity. We explain the criteria for choosing the scaffold and elaborate on the unique role of ALD in exploiting the potentials of the atomic level scaffolding strategy in growing crystalline phases of technologically important thin films. We show that creating the atomic scale scaffold can be easily incorporated into the conventional ALD cycles by adding additional dose and purge steps therefore making it possible to seamlessly integrate this scaffolding process into conventional ALD processes.

This work is especially important in heteroepitaxy where minimizing the role of the substrate as a result of this scaffolding strategy provides a means by which metastable and stable phases of materials in thin film form could be grown on foreign substrates that were not conventionally possible.

1:05 PM LI-ALD-TuM1-44 Plasma-Enhanced Atomic Layer Deposition of Copper Oxide Semiconductors With Tunable Phase, Oxidation State, and Morphology for P-Type Thin Film Transistors
Julia D. Lenef, Jaesung Jo, Orlando Trejo (University of Michigan, Ann Arbor); David J. Mandia (Argonne National Laboratory, USA); Rebecca L. Peterson, Neil P. Dasgupta (University of Michigan, Ann Arbor)

Although advances in p-type metal oxide semiconductors are more infrequently reported than their n-type counterparts, Cu2O—a p-type metal oxide—has been shown to be a viable candidate due to its moderate optical bandgap (2.0-2.6 eV)1 and high measured Hall mobility (> 100 cm2/V-s).2 Previous works indicate that grain size, crystallinity, oxidation state, and phaseof the CuOx films directly impact the resulting electronic properties for devices such as solar cells and thin film transistors. However, little work has been done to (1) obtain precise control over phase, oxidation and morphology and (2) explore the coupled effects between the materials synthesis, film structure and composition on the p-type semiconducting properties.

To address this knowledge gap, plasma-enhanced atomic layer deposition (PE-ALD) of CuOx was performed with select ratios of hydrogen and oxygen plasma and a non-fluorinated copper amidinate precursor.3 The phase of CuOx was successfully controlled to synthesize Cu, Cu2O, or CuO, verified by grazing-incidence x-ray diffraction. Furthermore, post-deposition annealing of the as-deposited films provides an additional process knob to tune the phase, oxidation state and morphology. Vacuum annealing resulted in up to a ~8 times increase in the grain size, and reduction in the copper oxidation state quantified by atomic force microscopy and synchrotron x-ray absorption spectroscopy, respectively. Next, to correlate the process-structure relationships observed with the p-type semiconductor properties, bottom-gate TFTs were constructed, and a maximum mobility (~0.01 cm2/V-s) and on/off current ratio of (~105) for PE-ALD films was achieved for films with the largest Cu(I) content and grain size.

References:

1 Y. Wang, P. Miska, D. Pilloud, D. Horwat, F. Mücklich, and J.F. Pierson, Journal of Applied Physics 115, 073505 (2014).

2 Z. Wang, P.K. Nayak, J.A. Caraveo‐Frescas, and H.N. Alshareef, Advanced Materials 28, 3831 (2016).

3J. D. Lenef, J.Jo, O. Trejo,D. J. Mandia, R.L. Peterson, and N. P. Dasgupta, Journal of Physical Chemistry C, Submitted (2021)

1:20 PM LI-ALD-TuM1-47 Tuning Coercive Field and Polarization in Inherently Ferroelectric HZO Film Deposited Using HfD-04 and ZrD-04
Raisul Islam, Martin McBriarty, Mario Laudato, Ryan Clarke, Son Hoang, Charlene Chen, Ganesh Panaman, Karl Littau (EMD Electronics, USA)

Data transfer throughput in off-chip memory devices are bottlenecked by the “memory wall”, where the data movement throughput does not scale with the technology node, and which cannot be solved by the current on-chip solutions like SRAM because of its large area requirement. Ferroelectric memory devices utilizing the switching of the polarization of electric dipoles in certain materials can offer ultra-low energy switching, scalability and they are on-chip BEOL compatible making them attractive for neuromorphic computing application. Alloys of HfO2 and ZrO2, Hf0.5Zr0.5O2 (HZO), are shown to have excellent ferroelectricity and are CMOS compatible, making them one of the most widely studied FE materials for memory applications.

In this paper, inherently ferroelectric HZO was demonstrated, deposited by atomic layer deposition using the alternating pulsing of HfD-04 and ZrD-04 precursors with ozone as the reactant. We observed that the ALD window (300 C-400 C) is wide and higher in deposition temperature compared to some other Hf and Zr precursors. This wide temperature window allows the FE orthorhombic phase to be stabilized as deposited, resulting in inherent ferroelectricity. We further investigated the effect of the stack design, ALD process parameters, and post processing such as annealing on the ferroelectric properties of the HZO films in the capacitor. We report 2Pr values of nearly 30 μC/cm2 under optimized conditions. Most significantly, we also observe that the coercive field can be reduced making the films more reliable under memory switching cycles. Coercive field tuning to values as low as ~1 MV/cm is demonstrated.

In summary, we have demonstrated inherently FE capacitors of HZO deposited by ALD of HfD-04 and ZrD-04 precursors where the FE properties can be tuned using the design of the capacitor stack, process conditions, and post process operations. Our work demonstrates how choice of Hf and Zr ligands and the widening of the ALD temperature window can enable a method of depositing FE films for on-chip memory application.

1:35 PM LI-ALD-TuM1-50 Ferroelectric Devices: From Applications to Microstructures
Asif Khan (Georgia Institute of Technology)

The microelectronics industry is poised to enter the fourth wave of massive expansion, to support the explosion of Big Data and data-centric computing applications and the upcoming fourth industrial revolution. Ferroelectricity, standing on the remarkable streak of discoveries and innovations over the last 100 years, will be central to this new paradigm. In this talk, we will highlight the prospects and challenges of newly discovered fluorite-structure ferroelectrics (such as HfO2 and its alloyed variants and ZrO2) for advanced microelectronic applications [2]. The first part of the talk will focus on the application drivers of ferroelectric technologies such as embedded memories and ferroelectric neural networks [2,3]. The second part will describe the microscopic phenomena that underlies the macroscopic ferroic responses in fluorite-structure oxides as unveiled by a combination of multi-scale electron microscopy and first principles density functional theory-based calculations [4].

This research is supported by the National Science Foundation, the Defense Advanced Research Program Agency (DARPA), the Semiconductor Research Corporation (SRC) - Global Research Collaboration (GRC) program, the Applications and Systems-Driven Center for Energy-Efficient Integrated Nano Technologies (ASCENT), one of six centers in the Joint University Microelectronics Program (JUMP), a SRC program sponsored by the DARPA, and an Intel Rising Star award.

[1] “A century of ferroelectricity.” Nature Materials. 19, 129 (2020).

[2] Asif Islam Khan, Ali Keshavarzi, and Suman Datta. “The future of ferroelectric field-effect transistor technology." Nature Electronics 3.10 (2020): 588-597.

[3] Zheng Wang, Sourabh Khandelwal, and Asif Islam Khan. "Ferroelectric oscillators and their coupled networks." IEEE Electron Device Letters 38.11 (2017): 1614-1617.

[4] Zheng Wang, et al. "Experimental demonstration of ferroelectric spiking neurons for unsupervised clustering." 2018 IEEE International Electron Devices Meeting (IEDM). IEEE, 2018.

[5] Sarah Lombardo et al. "Atomic-scale imaging of polarization switching in an (anti-) ferroelectric memory material: Zirconia (ZrO2)."2020 IEEE Symposium on VLSI Technology. IEEE, 2020.

1:55 PM LI-ALD-TuM1-54 Closing Remarks & Thank Yous
Tania Sandoval (Technical University Federico Santa Maria)
We hope you enjoyed the session! We will see you tomorrow!
Session Abstract Book
(340KB, Jun 9, 2021)
Time Period TuM1 Sessions | Abstract Timeline | Topic LI Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule