ALD/ALE 2021 Session NS5: ALD on Polymer Materials

On Demand

Session Abstract Book
(238KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic NS Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule

NS5-1 ALD of In2O3 in PMMA: Resolving the Atomic Structure of Sequential Infiltration Synthesized Clusters
Xiang He, Ruben Waldman, Seth Darling, David Tiede, Alex Martinson (Argonne National Laboratory)

Sequential infiltration synthesis (SIS) is a route to the precision deposition of inorganic solids in analogy to ALD but occurs within a soft material template. SIS has enabled exquisite nanoscale morphological complexity in several oxides through selective nucleation in block copolymers templates. However, the earliest stages of SIS growth remain unresolved, including the atomic structure of nuclei and the evolution of local coordination environments, before and after polymer template removal. We employed In K-edge extended X-ray absorption fine structure and atomic pair distribution function analysis of high-energy X-ray scattering to unravel the structural evolution of InOxHy clusters inside a poly(methyl methacrylate) (PMMA) host matrix. Early SIS cycles result in InOxHy cluster growth with high aspect ratio, followed by the formation of a three-dimensional network with additional SIS cycles. That the atomic structures of the InOxHy clusters can be modeled as multinuclear clusters with bonding patterns related to those in In2O3 and In(OH)3 crystal structures suggests that SIS may be an efficient route to 3D arrays of discrete-atom-number clusters. Annealing the mixed inorganic/polymer films in air removes the PMMA template and consolidates the as-grown clusters into cubic In2O3 nanocrystals that form porous In2O3 solids, the morphology of which also depends on cycle number.

NS5-2 Understanding and Controlling Polymer-Organometallic Precursor Interactions in Sequential Infiltration Synthesis
Inbal Weisbord, Neta Shomrat, Rotem Azoulay, Alexander Kaushansky, Tamar Segal-Peretz (Technion - Israel Institute of Technology)

With the emergence of sequential infiltration synthesis (SIS) as a prominent method for growth of inorganic materials inside polymeric structures, it becomes essential to understand the mechanism of precursor diffusion and reaction inside polymers, in order to expand the scope of SIS, and allow growth in thicker specimen, under more economic conditions.

This study uses a combination of density functional theory calculations and in-situ microgravimetric measurements to shed light on the equilibrium interaction between the polymers polymethyl methacrylate (PMMA) and poly-2-vinyl pyridine (P2VP) and the organometallic precursor trimethyl aluminum (TMA). The relationship between temperature, diffusion and reaction is probed, to reveal that while diffusion increases with temperature, the strength of reaction decreases. In low temperatures the strong reaction forms a low diffusivity region at the top of the film and inhibits further growth. At high temperatures, diffusion is high, but poor reaction strength prevents the precursor from binding in the film. The study finds the balance point, which is the optimum point that maximizes both factors, for each polymer. SIS dynamics, prior to reaching equilibrium, are probed using in-situ microgravimetric measurement, as well, enabling precise control over AlOx growth in PMMA and P2VP, as corroborated by electron microscopy. The knowledge gained from this thorough analysis is then used to design a process of TMA growth inside self-assembled polystyrene-block-P2VP in a manner that both preserves the self-assembly and allows for significant AlO growth.

View Supplemental Document (pdf)
NS5-3 Atomic Layer Deposition of Al2O3 and TiO2 on Polydimethylsiloxane
Albert Santoso, Bart van den Berg, Volkert van Steijn, J. Ruud van Ommen (TU Delft)

Atomic layer deposition (ALD) on polymeric substrates is attracting increasing attention due to potential applications in OLEDs, food packing, and biomaterials. By depositing a very thin film of metal oxide, the surface properties, such as wettability, and overall performance of the material can be adjusted without losing the unique bulk properties. However, due to the inherent porous structure and competing precursor reaction on the polymeric branch, the deposition of metal oxide on polymers does not follow the ideal ALD mechanism. The subsurface growth and minimal surface diffusion lead to a non-conformal layer and subsequently different final properties. In this study, we focus on the surface modification of polydimethylsiloxane (PDMS) which is widely used material to fabricate microreactor and lab-on-a-chip devices. We have investigated the use of ALD of alumina and titania on PDMS using two different ALD reactors (vacuum and atmospheric) at various operating conditions (temperature, substrate pretreatment). X-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM) and scanning electron microscopy (SEM) have been used for material characterization, while contact angle hysteresis measurements have been used for approximating the surface energy stability over time. Results indicate that at high temperature (120°C), micro-sized cracks and buckles are formed due to a difference in thermal expansion coefficient of metal oxide and PDMS, which density are reduced as the temperature goes down. When comparing the effect of oxidizing precursor, thermal ALD of metal oxide on PDMS leads to severe infiltration of precursors, and subsequently subsurface growth up to micron range, which have little influence on the surface wetting property. On the other hand, low temperature plasma-assisted vacuum ALD results into a surface growth, suggesting the growth kinetic is more dominant than the precursor diffusion, although nano-sized cracks and non-conformity were also still observed, which end up with certain hydrophobic recovery. The recovery rate is reduced by using atmospheric ALD. Further XPS analysis shows that there are some elements of ligand components from the precursor that may remain trapped due to incomplete purging, which might act as a pinhole-filler in subsurface infiltration and surface layer growth, leading to higher stability in surface energy. This study gives insight in utilizing thin films grown by non-ideal ALD as buffer layers for robust modification of polymeric substrates, which could be applied in not only controlling fluid behavior but also obtaining the desired surface property.

NS5-6 Modified 3D Printed Architectures: Effects of Coating and Infiltration by Alumina on ABS
Atilla Varga, Brian Nwokolo, Peter Gordon, Seán Barry (Carleton University, Canada)

In recent years 3D printing has gained enormous popularity thanks to its affordability, accessibility, ease of use, and the ability to easily employ a variety of polymer materials. These potential polymer substrates are highly tunable in flexibility and strength which make them the ideal for printing filaments, they can incorporate metal powders, wood fibers, carbon fibers, etc.[1] The integration of 3D printing and industrial nanoscale processes such as ALD will have a significant impact in the development of advanced 3D printed architectures leading to a wide array of applications.

ALD modification of 3D printed structure can be applied in nanoparticle growth, which has applications in low-cost high surface area catalysts. Both thin film coatings as well as precursor infiltration have already been studied in chemical resistant polymer coatings, conductive polymer networks, and various other applications of interest in electronics, sustainable materials and manufactured products.[2]

Previously ALD has been used to deposit alumina thin films on various types of polymers.[3] This work will expand the deposition of alumina onto 3D printed, of one of the most commonly available 3D printed substrates: acetonitrile butadiene styrene (ABS). The observed effects of either coating or infiltration of alumina on the polymers' physical properties such as melting point, glass transition temperature, thermal decomposition, and conductivity will be studied. The effects of coating versus infiltration will be contrasted to demonstrate the extent to which these characteristics can be tuned.

Scanning electron microscopy (SEM) and energy dispersive X-Ray spectroscopy (EDX) will be used to examine the modified polymer structures (Figure 1). Differential scanning calorimetry (DSC), thermogravimetric analysis (TGA) and conductivity probe measurements will be used to observe the physical property changes of the treated polymer compared to the untreated.

[1] T. Abudula et al., Front. Bioeng. Biotechnol., 2020, 8, 586186

[2] R. W. Johnson et al., Materials Today,2014, 17, 5, 236-246

[3] C. A. Wilson et al., Chem. Mater. 2005, 17, 23, 5625-5634

View Supplemental Document (pdf)
Session Abstract Book
(238KB, Jun 9, 2021)
Time Period OnDemand Sessions | Topic NS Sessions | Time Periods | Topics | ALD/ALE 2021 Schedule